75121|279

2万

帖子

74

TA的资源

管理员

楼主
 

【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币) [复制链接]

最近几天经常看到有朋友问及毕业设计的事情,对于没有实战经验的朋友可能确实也有些困难。 众人拾柴火焰高,让我们建立一个共享、帮助的气氛,可以让坛子里正在为毕设迷惑的朋友快乐毕业,从而快乐开始其电子生涯的第一步。 所以希望大家: 1、晒出你所整理的毕业设计资料,越完全越好——尽情体味分享的乐趣。 2、交流:初学者请说明自己的思路,坛子里的高手多多提出建议或者意见吧。 3、下载:下载之后,要说声谢谢哦。 4、受到帮助的朋友,请把自己毕业设计的心得一点点记录下来,对于将来需要的朋友,是份不错的财富。 站在巨人的肩膀上,相信我们大学时代的设计会越来越出色,会出现更多更优秀的“电子大师”。让我们一起努力吧!

 

此帖出自单片机论坛
加EE小助手好友,
入技术交流群
EE服务号
精彩活动e手掌握
EE订阅号
热门资讯e网打尽
聚焦汽车电子软硬件开发
认真关注技术本身

最新回复

谢谢楼主  详情 回复 发表于 2014-7-27 21:20
点赞 关注(2)
个人签名

加油!在电子行业默默贡献自己的力量!:)

 

回复
举报

2万

帖子

74

TA的资源

管理员

沙发
 
目录(期望建成EEWORLD第一高楼哦)

综合:
33个毕业设计——单片机类--------------------------------------------------------19楼
单片机实验板的C语言源程序-------------------------------------------------------35楼

密码锁:

板凳层---------------------------------------------------------------------------------6篇
基于VHDL的密码锁程序------------------------------------------------------------23楼


控制:

板凳层-----------------------------------------------------------------------------------9篇
自动售货机-----------------------------------------------------------------------------10楼
读pcf8563中的时钟信号--------------------------------------------------------------16楼
自己做的pcf8563的时钟原理图------------------------------------------------------51楼
基于MCS_51单片机汽车流量检测计在实验教学中的应用-----------------------25楼
基于Matlab的DSP控制系统.rar-------------------------------------------------------47楼


电源:

数控直流电压源设计-------------------------------------------------------------------34楼
基于SIMULINK的电力电子系统仿真研究.rar---------------------------------------47楼

仪表:

基于Zigbee的无线抄表系统路由协议研究.rar-------------------------------------47楼
数字频率计.rar-------------------------------------------------------------------------37楼
此帖出自单片机论坛
加EE小助手好友,
入技术交流群
EE服务号
精彩活动e手掌握
EE订阅号
热门资讯e网打尽
聚焦汽车电子软硬件开发
认真关注技术本身
 
个人签名

加油!在电子行业默默贡献自己的力量!:)

 

回复

2万

帖子

74

TA的资源

管理员

板凳
 
先抛砖引玉下:



单片机常用子程序——程序天使
https://bbs.eeworld.com.cn/thread-44539-1-1.html

51单片机C语言应用程序设计实例精讲——黑衣人
https://bbs.eeworld.com.cn/thread-42252-1-1.html

2005 届优秀毕业论文[设计]选(理科卷)——护花使者
https://bbs.eeworld.com.cn/viewthread.php?tid=43115

2006 届优秀毕业论文(设计)选(理科卷)——忙忙草
https://bbs.eeworld.com.cn/viewthread.php?tid=68099


电 源类

智能型充电器的电源和显示的设计(相当完整)——胖胖
https://bbs.eeworld.com.cn/viewthread.php?tid=59881

毕业设计论文 开关式交流稳压电源——xu__changhua
https://bbs.eeworld.com.cn/viewthread.php?tid=74635

控 制:

抢答器VHDL程序——heningbo
抢答器.rar (8.35 KB, 下载次数: 286)

万年历——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49658

毕业设计——万年历全套资料——zhaobqing163
https://bbs.eeworld.com.cn/viewthread.php?tid=69937

电梯控制(相当完整)——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49659

楼宇监控——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49462

悬挂运动控制系统的设计与制作(相当完整)——vdsp
https://bbs.eeworld.com.cn/viewthread.php?tid=72323

交通灯控制器设计的思路
https://bbs.eeworld.com.cn/viewthread.php?tid=70102&extra=&page=1

单片机流量控制系统 ——songbo
https://bbs.eeworld.com.cn/viewthread.php?tid=57705

液体点滴自动监控系统毕业设计的思路
https://bbs.eeworld.com.cn/viewthread.php?tid=34080

检测:

气体检测——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49413

炉温检测——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49498

220kv电网潮流计算——songbo
https://bbs.eeworld.com.cn/viewthread.php?tid=57710

基于AT89s51的燃气泄漏报警与应急处理器——gina
https://bbs.eeworld.com.cn/thread-74843-1-1.html

电容式液位测量系统的设计——dragon0212
https://bbs.eeworld.com.cn/viewthread.php?tid=69956

单片机在红外遥控环境测量系统中的应用——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49513

无线分布式大气监测系统的设计思路
https://bbs.eeworld.com.cn/viewthread.php?tid=72913

毕业设计——基于单片机的医院呼叫系统的设计思路
https://bbs.eeworld.com.cn/viewthread.php?tid=59989

使用1602_LCD AT89S52  18B20实现的温度报警(源程序)——songbo
https://bbs.eeworld.com.cn/viewthread.php?tid=59284


电子密码锁的设计

16位单片机的语音电子门锁系统——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=49512

基于单片机的电子密码锁实际设计——胖胖
https://bbs.eeworld.com.cn/viewthread.php?tid=59946

电子密码锁_源程序(单片机控制)——songbo
https://bbs.eeworld.com.cn/viewthread.php?tid=62759

电子密码锁设计——SuperStar515
https://bbs.eeworld.com.cn/viewthread.php?tid=26151 (3楼)

关于无线遥控密码锁的设计思路
https://bbs.eeworld.com.cn/viewthread.php?tid=30086

电子密码锁的设计思路
https://bbs.eeworld.com.cn/viewthread.php?tid=8578

其他

国外设计——在特定地点,提升音频质量的装置——呱呱
https://bbs.eeworld.com.cn/viewthread.php?tid=42169

基于89S51的信号发生器设计(源程序,仿真程序,PCB图)——cqzy6666
https://bbs.eeworld.com.cn/viewthread.php?tid=69960
此帖出自单片机论坛
加EE小助手好友,
入技术交流群
EE服务号
精彩活动e手掌握
EE订阅号
热门资讯e网打尽
聚焦汽车电子软硬件开发
认真关注技术本身
 
个人签名

加油!在电子行业默默贡献自己的力量!:)

 
 

回复

2113

帖子

0

TA的资源

裸片初长成(初级)

4
 

Re: [分享] 电子类毕业设计论文 下载、分享、交流(+50枚芯币)

板凳和沙发都让楼主占了
只好到4楼了
暂时没有
等有了再发
此帖出自单片机论坛

赞赏

1

查看全部赞赏

 
 
 

回复

565

帖子

513

TA的资源

裸片初长成(中级)

5
 
贡献下朋友提供的《51单片机及其C语言程序开发实例》中的程序代码、原理图、演示录屏

  第1章 绪论


  第2章 51单片机基础知识


  第3章 51单片机C程序设计基础


  第4章 单片机系统资源扩展


  第5章 51单片机的最小系统


  中篇 基于51单片机的模块设计


  第6章 键盘和数码管


  第7章 液晶显示模块


  第8章 A/D转换器


  第9章 D/A转换器


  第10章 I2C总线接口设计


  第11章 语音IC及应用


  第12章 时钟IC及应用 


  第13章 红外通信模块


  第14章 USB总线接口设计


  第15章 单片机与脉冲驱动控制


  第16章 单片机串行通信


  第17章 单片机与数字锁相环 


  第18章 单片机与DDS


  下篇 综合系统设计


  第19章 语音存储与回放系统


  第20章 数控直流恒流源


  第21章 简易数字逻辑分析仪


  第22章 智能电动小车

51单片机及其C语言程序开发实例.part1.rar

12.7 MB, 下载次数: 1540

此帖出自单片机论坛

赞赏

1

查看全部赞赏

 
 
 

回复

565

帖子

513

TA的资源

裸片初长成(中级)

6
 
51单片机及其C语言程序开发实例.part2.rar (12.7 MB, 下载次数: 1295)
此帖出自单片机论坛
 
 
 

回复

565

帖子

513

TA的资源

裸片初长成(中级)

7
 
51单片机及其C语言程序开发实例.part3.rar (12.7 MB, 下载次数: 1139)
此帖出自单片机论坛
 
 
 

回复

565

帖子

513

TA的资源

裸片初长成(中级)

8
 
51单片机及其C语言程序开发实例.part4.rar (1.48 MB, 下载次数: 971)
此帖出自单片机论坛
 
 
 

回复

633

帖子

0

TA的资源

裸片初长成(中级)

9
 
51源程序从入门到提高 ——终结篇

TP368[1].1-L81.rar (4.61 MB, 下载次数: 721)
此帖出自单片机论坛

赞赏

1

查看全部赞赏

 
 
 

回复

2113

帖子

0

TA的资源

裸片初长成(初级)

10
 

Re: [分享] 电子类毕业设计论文 下载、分享、交流(+50枚芯币)

自动售货机


--文件名:autosell.vhd

--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能

--说明:显示的钱数coin的值以5角为单位

library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity autosell is
port ( clk:in std_logic;                              --系统时钟
     set,get,sel,finish: in std_logic;                --设定、买、选择、完成信号
     coin0,coin1: in std_logic;                       --5角硬币、1元硬币
     price,quantity  :in std_logic_vector(3 downto 0);--价格、数量数据
     led    :out std_logic_vector(7 downto 0);         --钱数、商品数量显示数据
    shift  : out std_logic_vector(3 downto 0);        --数码管位选信号
    cs     : out std_logic_vector(1 downto 0));       --数码管、发光二极管片选信号
end autosell;

architecture behav of autosell is

type state is (st0,st1,st2,st3,st4,st5,st6);
signal current_state : state:=st0;

type  ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type;                                      --定义RAM

signal item0,act : std_logic_vector(3 downto 0);    --显示、开关信号
signal act10,act5 : std_logic;                    --1元硬币、5角硬币
signal dout : std_logic_vector(4 downto 0);               
signal item: std_logic_vector(1 downto 0);                 --商品种类
signal coin: std_logic_vector(3 downto 0);                 --币数计数器
signal pri,qua:std_logic_vector(3 downto 0);               --商品单价、数量
signal clk1k,clk1: std_logic;                              --控制系统的时钟信号

begin
com:process(set,clk1,price,quantity)
variable quan:std_logic_vector(3 downto 0);
begin
  if clk1'event and clk1='1' then  act5<='0'; act10<='0';
      if set='0' then ram(conv_integer(item))<=price & quantity;act<="0000";--把商品的单价、数量置入到RAM
      elsif coin0='0' then
        if coin<"1001"then coin<=coin+1;             --投入5角硬币,coin自加1
        else coin<="0000";
        end if;
      elsif coin1='0' then
        if coin<"1001"then coin<=coin+2;            --投入1元硬币,coin自加2
        else coin<="0000";
        end if;
      elsif sel='0' then item<=item+1;                 --对商品进行循环选择
      elsif get='0' then                               --对商品进行购买
         if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1;
                ram(conv_integer(item))<=pri & quan;
            if item="00" then act<="0111";           --购买时,自动售货机对4种商品的操作
           elsif item="01" then act<="1011";
          elsif item="10" then act<="1101";
          elsif item="11" then act<="1110";
           end if;
        end if;
      elsif  finish='0' then                            --结束交易,退币(找币)
         if coin>"0001" then act10<='1';coin<=coin-2;     --此IF语句完成找币操作
         elsif coin>"0000" then act5<='1'; coin<=coin-1;
         else act5<='0'; act10<='0';
         end if;
      elsif get='1' then act<="0000";                  
         for i in 4 to 7 loop
         pri(i-4)<=ram (conv_integer(item))(i);           --商品单价的读取
         end loop;
         for i in 0 to 3 loop
         quan(i):=ram(conv_integer(item))(i);            --商品数量的读取
         end loop;
      end if;
  end if;
qua<=quan;
end process;

m1k:process(clk)                                        --此进程完成对50000hz的脉冲分频
variable q: integer range 0 to 50000;
begin
   if clk'event and clk='1' then q:=q+1;
      if q<25000 then clk1k<='0';
     elsif q<50000 then clk1k<='1';
     else q:=0;clk1k<='1';
     end if;
   end if;
end process;

process(clk1k)                                    --此进程完成对1000hz的脉冲分频
variable q: integer range 0 to 1000;
begin
   if clk1k'event and clk1k='1' then q:=q+1;
      if q<500 then clk1<='0';
     elsif q<1000 then clk1<='1';
     else q:=0;clk1<='1';
     end if;
   end if;
end process;

code0:process(item)                          --商品指示灯译码
begin
case item is
when "00"=>item0<="0111";
when "01"=>item0<="1011";
when "10"=>item0<="1101";
when others=>item0<="1110";
end case;
end process;

process(clk1k)
begin
   if clk1k'event and clk1k='1' then
      case current_state is
     when st0=>                     --熄灭无关的发光二极管;
               cs<="11";
             dout<="11111";
             shift<="1111";
             current_state<=st1;
      when st1=>                       --dout(4)是用来判断点亮数码管或发光二极管;
               cs<="10";
             dout<='1'&coin;
             shift<="1110";
             current_state<=st2;
     when st2=>
               cs<="01";
             dout(4)<='0';
             shift<="1111";
             current_state<=st3;
      when st3=>
               cs<="10";
             dout<='1'&qua;
             shift<="0111";
             current_state<=st4;
      when st4=>
               cs<="01";
             dout(4)<='0';
             shift<="1111";
             current_state<=st5;
     when st5=>
               cs<="10";
             if act5='1' then dout<="10101";shift<="1011";
             elsif act10='1' then dout<="10000";shift<="1101";
             else shift<="1111";dout<="11111";
             end if;
             current_state<=st1;
      when others=>
               current_state<=st0;
      end case;
   end if;
end process;

code1: process (dout,item0,act)                       --钱数的BCD到七段码的译码
begin
   if dout(4)='1' then
      case dout(3 downto 0) is
      when "0000"=>led<="10000001";
      when "0001"=>led<="11001111";
      when "0010"=>led<="10010010";
      when "0011"=>led<="10000110";
      when "0100"=>led<="11001100";
      when "0101"=>led<="10100100";
      when "0110"=>led<="10100000";
      when "0111"=>led<="10001111";
      when "1000"=>led<="10000000";
      when "1001"=>led<="10000100";
      when others=>led<="11111111";
      end case;
   else led<=act(3)&item0&act(2 downto 0);          --用发光二极管显示货品;
   end if;
end process;
end behav;
此帖出自单片机论坛

赞赏

1

查看全部赞赏

 
 
 

回复

2113

帖子

0

TA的资源

裸片初长成(初级)

11
 

Re: [分享] 电子类毕业设计论文 下载、分享、交流(+50枚芯币)

5楼的从内容上看是好贴
可是我不会c语言
此帖出自单片机论坛
 
 
 

回复

14

帖子

0

TA的资源

一粒金砂(高级)

12
 

Re: [分享] 电子类毕业设计论文 下载、分享、交流(+50枚芯币)

LZ是肯定要顶的!!!
此帖出自单片机论坛
 
 
 

回复

68

帖子

0

TA的资源

五彩晶圆(中级)

13
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

第一章  绪论        2
1.1 单片机概述        2
1.1.1单片机的发展        2
1.1.2单片机的应用        6
1.2 AT89S52单片机        8
1.2.1功能特性描述        8
1.2.2引脚功能        8
1.2.3 AT89S52的存储器结构        11
1.2.4 定时器        11
1.2.5中断        13
1.3信号发生器概述        13
1.4本论文主要研究的内容        14
第二章  系统设计方案        16
2.1系统分析        16
2.1.1问题定义        16
2.1.2系统可行性分析        16
2.2系统需求分析        18
2.2.1系统功能要求        18
2.2.2系统性能要求        18
2.2.3运行环境要求        19
2.3总体方案设计        19
2.3.1算法设计        19
2.3.2系统总体结构框图设计        19
第三章  硬件设计        21
3.1总体硬件设计        21
3.2系统模块设计        22
3.2.1电源设计        22
3.2.2显示器接口设计        24
3.2.3复位与时钟电路设计        30
3.2.4键盘接口设计        31
3.2.5 D/A转换设计        35
3.2.6 电压变换        38
第四章  软件设计        40
4.1软件总体设计        40
4.2软件功能设计        40
4.2.1系统初始化程序设计        40
4.2.2 键盘扫描及处理程序设计        41
4.2.3中断服务程序设计        44
4.2.4 正弦波发生程序设计        46
4.2.5 三角波发生程序设计        49
4.2.6方波发生程序设计        49
4.2.7锯齿波发生程序设计        50
4.3 PROTEUS软件仿真        50
4.3.1 PROTEUS软件介绍        50
4.3.2 PROTEUS软件仿真过程        52
第五章  系统测试报告        56
5.1系统程序下载        56
5.2系统使用说明        56
5.3系统性能指标        57
5.4系统测试数据        58
第六章  结论与展望        59
6.1 结论        59
6.2 展望未来        59
参考文献        60
附录一  源程序        61
附录二  外文资料        71
致  谢        75
此帖出自单片机论坛
 
 
 

回复

2113

帖子

0

TA的资源

裸片初长成(初级)

14
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

楼上的是什么啊
说明一下
此帖出自单片机论坛
 
 
 

回复

18

帖子

0

TA的资源

一粒金砂(中级)

15
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

好贴,赞!
希望有更多的资料能看到
此帖出自单片机论坛
 
 
 

回复

2113

帖子

0

TA的资源

裸片初长成(初级)

16
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

赞赏

1

查看全部赞赏

 
 
 

回复

13

帖子

0

TA的资源

一粒金砂(中级)

17
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

谢谢楼主啊
此帖出自单片机论坛
 
 
 

回复

4

帖子

0

TA的资源

一粒金砂(中级)

18
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

赞啊 好多好东西
此帖出自单片机论坛
 
 
 

回复

2万

帖子

74

TA的资源

管理员

19
 
看到有个朋友的帖子

33个毕业设计——单片机类

https://bbs.eeworld.com.cn/thread-74959-1-1.html
此帖出自单片机论坛
加EE小助手好友,
入技术交流群
EE服务号
精彩活动e手掌握
EE订阅号
热门资讯e网打尽
聚焦汽车电子软硬件开发
认真关注技术本身
 
个人签名

加油!在电子行业默默贡献自己的力量!:)

 
 

回复

18

帖子

0

TA的资源

一粒金砂(中级)

20
 

Re: [分享] 【毕业设计专贴】电子类毕业设计论文 下载、分享、交流(+50枚芯币)

谢谢啦,正好需要学习学习呢
此帖出自单片机论坛
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/8 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表