3811|2

7228

帖子

192

TA的资源

五彩晶圆(高级)

楼主
 

【菜鸟FPGA学习帖】第4帖 基础实例一 [复制链接]

【菜鸟FPGA学习帖】第4 基础实例一

(例程中dout高三位分别为D4 D3 D2)
第一章
VHDL中有四类操作符,逻辑操作符、关系操作符、算术操作符、符号操作符
逻辑操作符AND OR NAND NOR XOR XNOR NOT
如果在一个表达式中有两个以上算符,需要使用括号把这些运算分组,如果这一串运算中的算符相同,且是AND\OR\XOR中的一种,则不需要使用括号。

/1/ AND  逻辑与
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ledd is
port(dout:out std_logic_vector(7 downto 0));
end ledd;
architecture behave of ledd is
begin
dout <= "11100000" AND "01111111";
end behave;
/2/ NOT  
architecture behave of ledd is
begin
--dout<="00000000" OR "01111111";
dout<= NOT"10000000" ;
end behave;
/3/ & 并置运算符
begin
dout <= "0011"&"1100";  --高三位分别为D4 D3 D2
end behave;
2GENERIC类属说明语句
   
ENTITY  mcu1  IS
  GENERIC (addrwidth : INTEGER := 16);
  PORT(
     add_bus : OUT STD_LOGIC_VECTOR(addrwidth-1 DOWNTO 0) );
     ...
在这里 GENERIC 语句对实体 mcu1 作为地址总线的端口 add_bus 的数据类型和宽度作了定义 即定义 add_bus 为一个 16 位的标准位矢量 定义 addrwidth 的数据类型是整数INTEGER  其中 常数名addrwidth 1 即为 15
例程:
GENERIC ( width : INTEGER := 8);
port(dout:out std_logic_vector(width-1 downto 0));
end ledd;
3  BLOCK语句
BLOCK 语句的表达格式如下
块标号   BLOCK [ 块保护表达式
        接口说明
            类属说明
            BEGIN
并行语句
        END BLOCK 块标号
作为一个 BLOCK 语句结构 在关键词 BLOCK 的前面必须设置一个块标号 并在结尾语句 END BLOCK 右侧也写上此标号 此处的块标号不是必需的
块的类属说明部分和接口说明部分的适用范围仅限于当前 BLOCK 所以 所有这在 BLOCK 内部的说明对于这个块的外部来说是完全不透明的 即不能适用于外部环境或由外部环境所调用 但对于嵌套于更内层的块却是透明的 即可将信息向内部传递
例:
architecture behave of ledd is
begin
blk : BLOCK
begin
dout<="00000000";
END BLOCK blk;
end behave;
【菜鸟FPGA学习帖】第4帖 基础实例一 .pdf (97.76 KB, 下载次数: 18)
此帖出自FPGA/CPLD论坛

最新回复

kdy
不错不错,终于有VHDL的面世了  详情 回复 发表于 2013-9-17 21:25

点评

kdy
不错不错,终于有VHDL的面世了:victory:  详情 回复 发表于 2013-9-17 21:25
点赞 关注
 

回复
举报

5979

帖子

8

TA的资源

版主

沙发
 
VHDL耶,不错啊
此帖出自FPGA/CPLD论坛
个人签名生活就是油盐酱醋再加一点糖,快活就是一天到晚乐呵呵的忙
===================================
做一个简单的人,踏实而务实,不沉溺幻想,不庸人自扰
 
 

回复

581

帖子

0

TA的资源

五彩晶圆(初级)

板凳
 

回复 楼主常见泽1 的帖子

不错不错,终于有VHDL的面世了
此帖出自FPGA/CPLD论坛
个人签名Net:Wxeda.taobao.com
QQ:1035868547
Blog:https://home.eeworld.com.cn/space-uid-390804.html
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/6 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表