7134|4

7219

帖子

192

TA的资源

五彩晶圆(高级)

楼主
 

【菜鸟FPGA VHDL学习帖】第6帖 闪烁灯 [复制链接]

【菜鸟FPGA VHDL学习帖】第6 闪烁灯
第一步,我们先来看看原理图
LED2 接的是3脚, LED3接的是2 LED4接的是1
第二步,因为闪烁灯,需要用到时钟信号,所以我们必须了解我们用的晶振和时钟引脚
由实验板上的晶振标识可知我们用的晶振是48M,而CLK引脚是24脚,配置引脚的时候需要用到。


第三步:语句
       用到时钟信号,不得不提一个语句——PROCESS语句
PROCESS 中规定了每个进程语句在当它的某个敏感信号 由敏感信号参量表列出的值改变时都必须立即完成某一功能行为,这个行为由进程语句中的顺序语句定义,行为的结果可以赋给信号并通过信号被其它的 PROCESS BLOCK 读取或赋值。
IF(clk'event and clk ='1')
clk'event是指信号clk是否发生跳变,若发生了则返回ture,否则为假,
clk=‘1’是跳变后clk为高电平。
第四步,例子
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ledd is
port(        clk: in  std_logic;
                               dout:out std_logic);
end ledd;
architecture behave of ledd is
SIGNAL num: std_logic_vector(31 downto 0);
SIGNAL vet: std_logic := '0';
BEGIN
PROCESS(clk)
BEGIN
IF(clk'event and clk ='1') THEN
               num <= num + 1;
               IF(num = 48000000) THEN
                              num <= "00000000000000000000000000000000";
                               vet <= vet XOR  '1';
               END IF;
END IF;
END PROCESS;
dout <= vet;
END behave;
// 实例中,没有具体计算闪烁的频率,只是做了一些简单的赋值,做了大约人眼能够看到闪烁的估算,具体num的数据的赋值,还要读者自己慢慢研究。在每个时钟上升沿来临,num都加1,当num计算到48000000时,取反。
【菜鸟FPGA VHDL学习帖】第6帖闪烁灯.pdf (115.37 KB, 下载次数: 62)
此帖出自FPGA/CPLD论坛

最新回复

大佬你好 刚刚看完你的闪烁灯教程(FPGA VHDL教程)   对于num的取值意义我不太明白,他取几位对灯的闪烁有什么影响吗,灯的闪烁不应该与时钟信号的晶振有关系吗,如果不分频,闪烁频率应该是不变的吧。为什么你没有进行分频呢。求大佬指点。   详情 回复 发表于 2019-11-27 10:33
点赞 关注
 

回复
举报

5979

帖子

8

TA的资源

版主

沙发
 
加油 加油!
此帖出自FPGA/CPLD论坛

点评

多谢版主鼓励 像您学习 您以前的教程我都看过的  详情 回复 发表于 2013-9-22 19:47
个人签名生活就是油盐酱醋再加一点糖,快活就是一天到晚乐呵呵的忙
===================================
做一个简单的人,踏实而务实,不沉溺幻想,不庸人自扰
 
 

回复

7219

帖子

192

TA的资源

五彩晶圆(高级)

板凳
 

回复 沙发chenzhufly 的帖子

多谢版主鼓励 像您学习 您以前的教程我都看过的
此帖出自FPGA/CPLD论坛
 
 
 

回复

5979

帖子

8

TA的资源

版主

4
 
哈哈,好久都没写教程了
此帖出自FPGA/CPLD论坛
个人签名生活就是油盐酱醋再加一点糖,快活就是一天到晚乐呵呵的忙
===================================
做一个简单的人,踏实而务实,不沉溺幻想,不庸人自扰
 
 
 

回复

1

帖子

0

TA的资源

一粒金砂(初级)

5
 

大佬你好 刚刚看完你的闪烁灯教程(FPGA VHDL教程)   对于num的取值意义我不太明白,他取几位对灯的闪烁有什么影响吗,灯的闪烁不应该与时钟信号的晶振有关系吗,如果不分频,闪烁频率应该是不变的吧。为什么你没有进行分频呢。求大佬指点。

此帖出自FPGA/CPLD论坛
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/9 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表