architecture beeper of div is
signal count : std_logic_vector(0 to 25);
signal true : std_logic:='0';
begin
process(clk)
begin
if (rising_edge(clk)) then
if true='0' then
if (rst='0')then
count<=(others=>'0');
true<='1';
end if;
else
count<=count+1 ;
led<= count(25);
end if;
end if;
end process;
end beeper;