3836|3

83

帖子

0

TA的资源

一粒金砂(初级)

楼主
 

急求VHDL设计交通灯,在线等啊,各位帮忙一下吧 [复制链接]

1.设计原理
   在这个实例中,我们设计一个简单的十字路口交通灯。交通灯分东西和南北两个方向,均通过数码管和指示灯指示当前的状态。设两个方向的流量相当,红灯时间45s,绿灯时间40s,黄灯时间5s.
  从交通灯的工作机理来看,无论是东西方向还是南北方向,都是一个减法计数器。只不过计数时还要判断红绿灯情况,再设置计数器的模值。
   下表所示为一个初始状态和4个跳变状态。交通灯工作时状态将在4个状态间循环跳变,整个交通灯则完全按照减计数器原理进行设计。
状态        当前计数值        下一个CLOCK到来时新模值
        东西方向指示        南北方向指示        东西-南北方向指示        东西方向指示        南北方向指示        东西-南北方向指示
初始        0        0                45        40        红-绿
1        6        1        红-绿        5        5        红-黄
2        1        1        红-黄        40        45        绿-红
3        1        6        绿-红        5        5        黄-红
4        1        1                45        40        红-绿
2.部分程序
  library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity traffic is
port(clk, urgency: in std_logic;
east_west:buffer std_logic_vector(7 downto 0);--东西方向时钟计数
south_north: buffer std_logic_vector(7 downto 0); --南北方向的时钟计数
led:buffer std_logic_vector(5 downto 0));  --交通指示灯
end traffic;

architecture arch of traffic is
。。。。。。。
end arch;

3.具体设计步骤
1)        建立一个新的工程完成上面的电路设计
2)        编译电路并使用功能仿真来验证设计
3)        引脚配置,如Part I中讨论的,这些配置是确保VHDL代码中输出端口能使用PFGA芯片上连接到LEDR和LEDG的引脚。重新编译项目,并下载到FPGA芯片上。
4)        测试电路的正确性。

最新回复

其实自己查资料写一下挺好的,VHDL算是我见过的最简单的语言了。搞清楚逻辑和模块写起来很方便的。  详情 回复 发表于 2012-6-16 13:33

点评

其实自己查资料写一下挺好的,VHDL算是我见过的最简单的语言了。搞清楚逻辑和模块写起来很方便的。  详情 回复 发表于 2012-6-16 13:33
点赞 关注

回复
举报

77

帖子

0

TA的资源

一粒金砂(初级)

沙发
 
我有用单片机实现的交通灯的设计完整资料(包括详细的设计原理与技术手册 以及完整的源代码)
楼主如果想要,QQ:604914729.
 
 

回复

75

帖子

0

TA的资源

一粒金砂(初级)

板凳
 
用MCU实现的交通灯模组资料,包括详细的设计文档与完整的源代码,具体功能如下:
LED板中每个方向提供四组交通LED灯(包括左转、直行、右转、人行道四组),每组交通灯包括红、黄、绿三个灯,可以实现交通的通行控制;LED板中每个方向提供两个数码管,可以实现100以内的倒计时。
值得参考与研究下。

具体链接:
http://download.eeworld.net/source/1921418
 
 
 

回复

53

帖子

0

TA的资源

一粒金砂(中级)

4
 

回复 楼主 chenxb19831118 的帖子

其实自己查资料写一下挺好的,VHDL算是我见过的最简单的语言了。搞清楚逻辑和模块写起来很方便的。
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/7 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表