3334|3

76

帖子

0

TA的资源

一粒金砂(初级)

楼主
 

verilog pli相关,请帮忙,谢~~! [复制链接]

开发环境如下:
os:xp sp2
modlesim: modlesim se 6.3f

我写了一个misctf的函数,声明:int clkReactive (int data, int reason),
s_tfcell veriusertfs[] = {
   {usertask, 0, clkInit, 0, clkGen, clkReactive, "$clkGen"},
   {0}
};
编译通不过,因为veriuser.h头文件中定义如下:
typedef PLI_INT32 (*p_tffn)();
typedef struct t_tfcell
{
    PLI_INT16 type;     /* USERTASK, USERFUNCTION, or USERREALFUNCTION  */
    PLI_INT16 data;     /* passed as data argument of callback function */
p_tffn    checktf;  /* argument checking callback function          */
p_tffn    sizetf;   /* function return size callback function       */
p_tffn    calltf;   /* task or function call callback function      */
p_tffn    misctf;   /* miscellaneous reason callback function       */
    char *    tfname;   /* name of system task or function              */
.....................
}
我知道参数个数不对,肯定编译不过,但是我看网上一些源代码中checktf,sizetf misctf都是可以带参数的,也能编译通过,运行,不知道他们是怎么办的的,这个链接(http://www.project-veripage.com/bfm8051.php)上给的源代码中misctf中也是带参数的,同样是modelsim,不知道为啥人家就能运行....难道是版本的问题?
我想捕获reason_reactivate这个事件,所以想问问大家有没有类似的经历,怎么解决的...谢!!

最新回复

现在的问题更奇怪了,为什么这样声明,定义居然能正常运行? 我把自己的代码贴出来: clkGen.h //空文件 clkGen.c #include "acc_user.h" #include "veriuser.h" #include #include "clkGen.h" // Define the ON and OFF time of clock #define PERIOD  5 extern int clkGen(); extern int clkInit(); extern int clkReactive (int data, int reason, int paramvc); // Data structure struct clkData {   int clk;   int clkCnt; }; struct clkData *data; int count = 0; // Main routine which toggles the clock int clkGen() {         acc_initialize();         // Get the stored workarea         //struct clkData *data = ( struct clkData * )tf_igetworkarea(tf_getinstance());         if (data->clkCnt == PERIOD)         {                 data->clk = (data->clk == 0) ? 1 : 0;                 data->clkCnt = 0;                 io_printf("%d Current clk = %d\n",tf_gettime(), data->clk);         }         else         {                 data->clkCnt ++;                 io_printf("%d Current clk = %d\n",tf_gettime(), data->clk);         }         // Drive the clock signal in HDL         tf_putp (1, data->clk);         acc_close();         return 0; } // checktf() routine // This function inits the objects and also stores the object in workarea //extern "C" int clkInit() {         acc_initialize();         data = ( struct clkData * )malloc( sizeof( struct clkData ) );         data->clkCnt = 0;         data->clk    = 0;         tf_setworkarea(data);         acc_close();         return 0; } // misctf() routine // This routine is called after 1 tick int clkReactive (int data, int reason, int paramvc) {         acc_initialize();         // if callback reason is reactive, then call clkGen function         if (reason == reason_reactivate)         {                 clkGen();         }         // Set the callback delay to 1 tick         tf_setdelay(1);         acc_close();         return 0; } s_tfcell veriusertfs[] = {    {usertask, 0, clkInit, 0, clkGen, clkReactive, "$clkGen"},    {0} }; //cl -c -I C:/Modeltech_6.3f/include clkGen.c //link -dll -export:veriusertfs clkGen.obj C:/Modeltech_6.3f/win32/mtipli.lib /out:clkGen.dll //vsim -c -pli D:/modelsimPROJ/counterv4/clkGen.dll clkGen_tb clkGen.v module clkGen_tb(clk); output clk; reg clk; initial begin     $clkGen(clk); end always @(posedge clk) begin     $display("hello world !"); end endmodule 用命令行编译没问题,也能运行,但是用modelsim提供的编译按钮编译不通过 我想问,clkReactive 明明是不符合veriuser.h中函数指针要求的,问什么能编译通过呢,还能运行,求高手解答~~!   详情 回复 发表于 2009-5-18 10:35
点赞 关注

回复
举报

67

帖子

0

TA的资源

一粒金砂(初级)

沙发
 
那个代码在头文件里把函数指针给申明了一遍,用的是没有参数的。
 
 

回复

60

帖子

0

TA的资源

一粒金砂(初级)

板凳
 
在uc.h文件中声明如下:
extern int ucMisc_tf();

在ucpli.c 文件中定义如下:
int ucMisc_tf(int data , int reason)
{
  switch(reason){
  case reason_endofcompile:
   // io_printf("called from misctf- Reason End Of Compile \n");
    break;
  case reason_synch:
    ucSynchronize();
    break;
  default:
  //  io_printf("default Misctf\n");
    break;
  }

}
这两者不矛盾么?
请指教,3Q~~!
 
 
 

回复

79

帖子

0

TA的资源

一粒金砂(初级)

4
 
现在的问题更奇怪了,为什么这样声明,定义居然能正常运行?
我把自己的代码贴出来:
clkGen.h
//空文件
clkGen.c
#include "acc_user.h"
#include "veriuser.h"
#include
#include "clkGen.h"
// Define the ON and OFF time of clock
#define PERIOD  5

extern int clkGen();
extern int clkInit();
extern int clkReactive (int data, int reason, int paramvc);


// Data structure
struct clkData {
  int clk;
  int clkCnt;
};
struct clkData *data;
int count = 0;
// Main routine which toggles the clock
int clkGen()
{
        acc_initialize();
        // Get the stored workarea
        //struct clkData *data = ( struct clkData * )tf_igetworkarea(tf_getinstance());
        if (data->clkCnt == PERIOD)
        {
                data->clk = (data->clk == 0) ? 1 : 0;
                data->clkCnt = 0;
                io_printf("%d Current clk = %d\n",tf_gettime(), data->clk);
        }
        else
        {
                data->clkCnt ++;
                io_printf("%d Current clk = %d\n",tf_gettime(), data->clk);
        }
        // Drive the clock signal in HDL
        tf_putp (1, data->clk);
        acc_close();
        return 0;
}

// checktf() routine
// This function inits the objects and also stores the object in workarea
//extern "C"
int clkInit()
{
        acc_initialize();
        data = ( struct clkData * )malloc( sizeof( struct clkData ) );
        data->clkCnt = 0;
        data->clk    = 0;
        tf_setworkarea(data);
        acc_close();
        return 0;
}

// misctf() routine
// This routine is called after 1 tick
int clkReactive (int data, int reason, int paramvc)
{
        acc_initialize();
        // if callback reason is reactive, then call clkGen function
        if (reason == reason_reactivate)
        {
                clkGen();
        }
        // Set the callback delay to 1 tick
        tf_setdelay(1);
        acc_close();
        return 0;
}

s_tfcell veriusertfs[] = {
   {usertask, 0, clkInit, 0, clkGen, clkReactive, "$clkGen"},
   {0}
};
//cl -c -I C:/Modeltech_6.3f/include clkGen.c
//link -dll -export:veriusertfs clkGen.obj C:/Modeltech_6.3f/win32/mtipli.lib /out:clkGen.dll
//vsim -c -pli D:/modelsimPROJ/counterv4/clkGen.dll clkGen_tb

clkGen.v

module clkGen_tb(clk);
output clk;
reg clk;

initial
begin
    $clkGen(clk);
end
always @(posedge clk)
begin
    $display("hello world !");
end
endmodule

用命令行编译没问题,也能运行,但是用modelsim提供的编译按钮编译不通过
我想问,clkReactive 明明是不符合veriuser.h中函数指针要求的,问什么能编译通过呢,还能运行,求高手解答~~!
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/10 下一条
【干货上新】电源解决方案和技术第二趴 | DigiKey 应用探索站
当月好物、电源技术资源、特色活动、DigiKey在线实用工具,干货多多~

查看 »

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

 
机器人开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网 14

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表