论坛上看了不少ZYNQ的矿板,于是最近也淘了一块,分享下使用经验
使用矿机板PL端点灯,记录下简单步骤,
软件部分只需要赛灵思的vivado软件,
硬件部分根据矿板的实际情况确认是否需要增加时钟,如果使用蚂蚁T9+矿板,需要增加时钟
具体参考步骤如下:
创建项目,选择处理器
分别添加VHDL文件和约束文件
文件名字按自己习惯取就可以
查看原理图LED灯和时钟连接的具体物理管脚
根据上图的圈出来的时钟和LED控制管脚,简单写个时钟约束文件
create_clock -period 20 -name clk [get_ports clk] #20nS
set_property PACKAGE_PIN K17 [get_ports clk]
set_property PACKAGE_PIN F16 [get_ports {led[0]}]
set_property PACKAGE_PIN M19 [get_ports {led[1]}]
set_property PACKAGE_PIN M17 [get_ports {led[2]}]
set_property PACKAGE_PIN L19 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [all_inputs]
set_property IOSTANDARD LVCMOS33 [all_outputs]
VHDL点灯文件
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
entity ledblink is
Port ( clk : in STD_LOGIC;
led : out STD_LOGIC_VECTOR (3 downto 0));
end ledblink;
architecture Behavioral of ledblink is
begin
process(clk)
variable counter : INTEGER := 0;
begin
if(rising_edge(CLK)) then
counter := counter + 1;
if counter >= 49999999 then
counter := 0 ;
end if;
if (counter < 25000000) then
led(3 downto 0) <= "0101";
else
led(3 downto 0) <= "1010";
end if;
end if;
end process;
end Behavioral;
上面两个文件完成后,设置生成bin文件后,再点击生成
选择下载器,这边使用XVC无线下载器
连接Xilinx-XVC AP下载器AP, 输入IP地址,
下载成功后,done灯常亮,同时便可看见间隔1S,4个灯两两交替亮
无线下载后演示视频