3081|6

186

帖子

0

TA的资源

一粒金砂(高级)

楼主
 

ZYNQ 蚂蚁矿机板T9+ PL端VHDL语言点灯 [复制链接]

论坛上看了不少ZYNQ的矿板,于是最近也淘了一块,分享下使用经验

使用矿机板PL端点灯,记录下简单步骤,

软件部分只需要赛灵思的vivado软件,

硬件部分根据矿板的实际情况确认是否需要增加时钟,如果使用蚂蚁T9+矿板,需要增加时钟

 

 

 

具体参考步骤如下:

 

创建项目,选择处理器

 

 

 

 

 

 

分别添加VHDL文件和约束文件

 

 

文件名字按自己习惯取就可以

 

 

 

查看原理图LED灯和时钟连接的具体物理管脚

 

 

根据上图的圈出来的时钟和LED控制管脚,简单写个时钟约束文件

 

create_clock -period 20 -name clk [get_ports clk] #20nS

set_property PACKAGE_PIN K17 [get_ports clk]

 

set_property PACKAGE_PIN F16 [get_ports {led[0]}]

set_property PACKAGE_PIN M19 [get_ports {led[1]}]

set_property PACKAGE_PIN M17 [get_ports {led[2]}]

set_property PACKAGE_PIN L19 [get_ports {led[3]}]

 

set_property IOSTANDARD LVCMOS33 [all_inputs]

set_property IOSTANDARD LVCMOS33 [all_outputs]

 

 

VHDL点灯文件

 

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

use ieee.std_logic_unsigned.all;

 

entity ledblink is

    Port ( clk : in STD_LOGIC;

           led : out STD_LOGIC_VECTOR (3 downto 0));

end ledblink;

 

architecture Behavioral of ledblink is

begin

process(clk)

variable counter : INTEGER := 0;

begin

    if(rising_edge(CLK)) then

        counter :=  counter + 1;

        if counter >= 49999999 then

            counter := 0 ;

        end if;

        if (counter < 25000000) then

            led(3 downto 0) <= "0101";

        else

            led(3 downto 0) <= "1010";

        end if;

    end if;

end process;

end Behavioral;

 

 

上面两个文件完成后,设置生成bin文件后,再点击生成

 

 

选择下载器,这边使用XVC无线下载器

 

 

 

 

连接Xilinx-XVC AP下载器AP, 输入IP地址,

 

 

 

 

 

 

 

下载成功后,done灯常亮,同时便可看见间隔1S,4个灯两两交替亮

 

无线下载后演示视频

 

此帖出自FPGA/CPLD论坛

最新回复

闲鱼上有卖,之前35包邮收了一片,可惜DRAM是2片256M的D9TDK   详情 回复 发表于 2024-3-5 01:12
点赞(1) 关注(3)
 

回复
举报

3

帖子

0

TA的资源

一粒金砂(初级)

沙发
 

这板淘成多少钱,用于初学应该还不错吧,我最近也想学FPGA,某宝看了下挺贵的,这个ZYNQ矿板应该不是很贵吧。

此帖出自FPGA/CPLD论坛
 
 

回复

1

帖子

0

TA的资源

一粒金砂(初级)

板凳
 
博主您好,我想请问一下这款板子适合学习些什么?外设的话板子上只有DDR3和千兆网口,以及sd卡槽,还是说适合用来熟悉ZYNQ的开发流程
此帖出自FPGA/CPLD论坛
 
 
 

回复

4

帖子

1

TA的资源

一粒金砂(初级)

4
 

请问有t9+ 的原理图吗


此帖出自FPGA/CPLD论坛
 
 
 

回复

4

帖子

0

TA的资源

一粒金砂(初级)

5
 

同问,请问大佬有t9+的原理图吗

此帖出自FPGA/CPLD论坛
 
 
 

回复

26

帖子

0

TA的资源

一粒金砂(中级)

6
 
我也想问价格,楼主方便说一下吗,谢谢
此帖出自FPGA/CPLD论坛
 
 
 

回复

4

帖子

0

TA的资源

一粒金砂(初级)

7
 
LilMonster00 发表于 2024-2-26 10:24 我也想问价格,楼主方便说一下吗,谢谢

闲鱼上有卖,之前35包邮收了一片,可惜DRAM是2片256M的D9TDK

此帖出自FPGA/CPLD论坛
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/8 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表