登录注册
论坛
版主
833
3
这次测评也想学一下ModelSim的使用,这里单独发个帖子记录一下学习过程。
image.png (75.91 KB, 下载次数: 0)
下载附件 保存到相册
2023-1-27 12:49 上传
image.png (47.16 KB, 下载次数: 0)
2023-1-27 12:56 上传
image.png (39.46 KB, 下载次数: 0)
2023-1-27 12:58 上传
image.png (37.01 KB, 下载次数: 0)
2023-1-27 13:00 上传
image.png (40.61 KB, 下载次数: 0)
2023-1-27 13:01 上传
image.png (26.02 KB, 下载次数: 0)
2023-1-27 13:09 上传
image.png (35.29 KB, 下载次数: 0)
2023-1-27 13:10 上传
`timescale 1ns/1ns module tb_flow_led(); reg sys_clk; reg sys_rst_n; wire [3:0] led; initial begin sys_clk = 1'b0; sys_rst_n = 1'b0; #20 sys_rst_n = 1'b1; end always #10 sys_clk = ~sys_clk; flow_led u0_flow_led ( .sys_clk (sys_clk ), .sys_rst_n (sys_rst_n), .led (led ) ); endmodule
3)编译仿真文件 编译的方式有两种: Compile Selected(编译所选)和 Compile All(编译全部)。编译所选功能需要先选中一个或几个文件,执行该命令可以完成对选中文件的编译;编译全部功能不需要选中文件,该命令是按编译顺序对工程中的所有文件进行编译。我们可以在菜单栏【Compile】中找到这两个命令,也可以在快捷工具栏或者在工作区中的右键弹出的菜单中找到这两个命令。下面我们单击 Compile All(编译全部),如下图所示。 image.png (84.07 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:20 上传 编译完成后,结果如下图所示: image.png (38.8 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:21 上传 4)配置仿真环境 编译完成后,接下来我们就开始配置仿真环境,我们在 ModelSim 菜单栏中找到【Simulate】→【StartSimulation...】菜单并点击,弹出如下右图所示页面。 image.png (55.23 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:23 上传 接下来我们在 Design 标签页面中选择 work 库中的 flow_led_tb 模块,在 Optimization 一栏中取消勾选(注意一定要取消优化的勾选,否则无法观察信号波形),然后点击 【OK】就可以开始进行功能仿真了,其余标签页面中的配置我们使用默认就可以了,如下图所示。 image.png (98.86 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:30 上传 点击【OK】后弹出下图所示界面: image.png (55.2 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:37 上传 鼠标右键单击“u0_flow_led”, 选择“Add Wave”选项, 如下图所示: image.png (33.97 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:39 上传 我们选择仿真时间为 1ms,如下图所示,单击右边的运行按钮。 image.png (18.96 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:40 上传 运行后的结果如下图所示: image.png (13.01 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 13:59 上传
image.png (84.07 KB, 下载次数: 0)
2023-1-27 13:20 上传
image.png (38.8 KB, 下载次数: 0)
2023-1-27 13:21 上传
image.png (55.23 KB, 下载次数: 0)
2023-1-27 13:23 上传
image.png (98.86 KB, 下载次数: 0)
2023-1-27 13:30 上传
image.png (55.2 KB, 下载次数: 0)
2023-1-27 13:37 上传
image.png (33.97 KB, 下载次数: 0)
2023-1-27 13:39 上传
image.png (18.96 KB, 下载次数: 0)
2023-1-27 13:40 上传
image.png (13.01 KB, 下载次数: 0)
2023-1-27 13:59 上传
ModelSim 与 PDS 的联合仿真 1)仿真库的编译 进行 Pango Design Suite 2021.4-SP1.2 与 Modelsim 联合仿真,我们首先需要对仿真库进行编译。点击“Tools”选择“Compile Simulation Libraries”选项。 image.png (43.62 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 17:38 上传 在 弹 出 的 界 面 中 , 第 一 步 是 按 红 色 框 中 路 径 设 置 , 把 编 译 库 pango_sim_libraries 放 在E:/Verilog/1_flow_led/prjx 工程文件夹下(编译库的路径是可以根据用户的需求来自己选择的,此路径为相对路径。);第二步需要添加 Modelsim 文件的环境变量,此路径是安装软件的绝对路径,选择 win64 路径即可;然后点击【compile】即开始编译。 image.png (58 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 17:42 上传 如图 所示, 在完成上述所有步骤后, PDS 软件与 ModelSim 就可以进行联合仿真了。 image.png (130.7 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 17:46 上传 2)建立 TestBench 仿真文件 3)添加仿真文件 编写好 Testbench 文件后,我们就可以添加已经编写好的 TestBench 文件了。 4)进行联合仿真 仿真文件添加到项目中,我们就可以进行联合仿真了。第一步右击“Simulation”中的“flow_led_tb”文件,选择选项中的“Run Behavior Simulation” 如下图所示,如果没有出现报错, PDS 会调用 Modelsim 仿真软件开始工作的。 image.png (147.64 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 17:57 上传 仿真波形: image.png (15.18 KB, 下载次数: 0) 下载附件 保存到相册 2023-1-27 19:07 上传
image.png (43.62 KB, 下载次数: 0)
2023-1-27 17:38 上传
image.png (58 KB, 下载次数: 0)
2023-1-27 17:42 上传
image.png (130.7 KB, 下载次数: 0)
2023-1-27 17:46 上传
image.png (147.64 KB, 下载次数: 0)
2023-1-27 17:57 上传
image.png (15.18 KB, 下载次数: 0)
2023-1-27 19:07 上传
扫一扫,分享给好友
发表回复 回帖后跳转到最后一页
EEWorld Datasheet 技术支持
查看 »