ZYNQ 蚂蚁矿机板T9+ PL端VHDL语言点灯
<div class='showpostmsg'><p>论坛上看了不少ZYNQ的矿板,于是最近也淘了一块,分享下使用经验</p><p >使用矿机板PL端点灯,记录下简单步骤,</p>
<p >软件部分只需要赛灵思的vivado软件,</p>
<p >硬件部分根据矿板的实际情况确认是否需要增加时钟,如果使用蚂蚁T9+矿板,需要增加时钟</p>
<p > </p>
<p > </p>
<p > </p>
<p >具体参考步骤如下:</p>
<p > </p>
<p >创建项目,选择处理器</p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p >分别添加VHDL文件和约束文件</p>
<p > </p>
<p > </p>
<p >文件名字按自己习惯取就可以</p>
<p > </p>
<p > </p>
<p > </p>
<p >查看原理图LED灯和时钟连接的具体物理管脚</p>
<p > </p>
<p > </p>
<p >根据上图的圈出来的时钟和LED控制管脚,简单写个时钟约束文件</p>
<p > </p>
<p >create_clock -period 20 -name clk #20nS</p>
<p >set_property PACKAGE_PIN K17 </p>
<p > </p>
<p >set_property PACKAGE_PIN F16 }]</p>
<p >set_property PACKAGE_PIN M19 }]</p>
<p >set_property PACKAGE_PIN M17 }]</p>
<p >set_property PACKAGE_PIN L19 }]</p>
<p > </p>
<p >set_property IOSTANDARD LVCMOS33 </p>
<p >set_property IOSTANDARD LVCMOS33 </p>
<p > </p>
<p > </p>
<p >VHDL点灯文件</p>
<p > </p>
<p >library IEEE;</p>
<p >use IEEE.STD_LOGIC_1164.ALL;</p>
<p >use ieee.numeric_std.all;</p>
<p >use ieee.std_logic_unsigned.all;</p>
<p > </p>
<p >entity ledblink is</p>
<p > Port ( clk : in STD_LOGIC;</p>
<p > led : out STD_LOGIC_VECTOR (3 downto 0));</p>
<p >end ledblink;</p>
<p > </p>
<p >architecture Behavioral of ledblink is</p>
<p >begin</p>
<p >process(clk)</p>
<p >variable counter : INTEGER := 0;</p>
<p >begin</p>
<p > if(rising_edge(CLK)) then</p>
<p > counter := counter + 1;</p>
<p > if counter >= 49999999 then</p>
<p > counter := 0 ;</p>
<p > end if;</p>
<p > if (counter < 25000000) then</p>
<p > led(3 downto 0) <= "0101";</p>
<p > else</p>
<p > led(3 downto 0) <= "1010";</p>
<p > end if;</p>
<p > end if;</p>
<p >end process;</p>
<p >end Behavioral;</p>
<p > </p>
<p > </p>
<p >上面两个文件完成后,设置生成bin文件后,再点击生成</p>
<p > </p>
<p > </p>
<p >选择下载器,这边使用XVC无线下载器</p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p >连接Xilinx-XVC AP下载器AP, 输入IP地址,</p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p > </p>
<p >下载成功后,done灯常亮,同时便可看见间隔1S,4个灯两两交替亮</p>
<p > </p>
<p >6de5c1a2dd9f2e16e1d3d92aa39f42c6<br />
</p>
</div><script> var loginstr = '<div class="locked">查看本帖全部内容,请<a href="javascript:;" style="color:#e60000" class="loginf">登录</a>或者<a href="https://bbs.eeworld.com.cn/member.php?mod=register_eeworld.php&action=wechat" style="color:#e60000" target="_blank">注册</a></div>';
if(parseInt(discuz_uid)==0){
(function($){
var postHeight = getTextHeight(400);
$(".showpostmsg").html($(".showpostmsg").html());
$(".showpostmsg").after(loginstr);
$(".showpostmsg").css({height:postHeight,overflow:"hidden"});
})(jQuery);
} </script><script type="text/javascript">(function(d,c){var a=d.createElement("script"),m=d.getElementsByTagName("script"),eewurl="//counter.eeworld.com.cn/pv/count/";a.src=eewurl+c;m.parentNode.insertBefore(a,m)})(document,523)</script> <p>这板淘成多少钱,用于初学应该还不错吧,我最近也想学FPGA,某宝看了下挺贵的,这个ZYNQ矿板应该不是很贵吧。</p>
博主您好,我想请问一下这款板子适合学习些什么?外设的话板子上只有DDR3和千兆网口,以及sd卡槽,还是说适合用来熟悉ZYNQ的开发流程 <p>请问有t9+ 的原理图吗</p><br/> <p>同问,请问大佬有t9+的原理图吗</p>
我也想问价格,楼主方便说一下吗,谢谢 LilMonster00 发表于 2024-2-26 10:24
我也想问价格,楼主方便说一下吗,谢谢
<p>闲鱼上有卖,之前35包邮收了一片,可惜DRAM是2片256M的D9TDK</p>
页:
[1]