此帖出自Altera SoC论坛
最新回复
楼主 下面的供你参考
create_generated_clock -name sdram_clk -source [get_pins {MAIN_PLL|altpll_component|auto_generated|pll1|clk[3]}] -offset 0.5 [get_ports {MEM_CLK}]
set_input_delay -clock sdram_clk -max [expr 5.5 + 0.6] [get_ports {MEM_DATA}]
set_input_delay -clock sdram_clk -min [expr 2.0 + 0.4] [get_ports {MEM_DATA}]
set_output_delay -clock sdram_clk -max [expr 1.5 + 0.6] [get_ports {MEM_DATA MEM_ADDR MEM_BA MEM_nCS MEM_nRAS MEM_nCAS MEM_nWE}]
set_output_delay -clock sdram_clk -min [expr -1.0 + 0.4] [get_ports {MEM_DATA MEM_ADDR MEM_BA MEM_nCS MEM_nRAS MEM_nCAS MEM_nWE}]
https://www.altera.co.jp/ja_JP/pdfs/literature/an/an438.pdf
详情
回复
发表于 2016-7-20 18:59
| ||
|
||
此帖出自Altera SoC论坛
| ||
个人签名MicroPython中文社区https://micropython.org.cn/forum/
|
||
浏览过的版块 |
EEWorld Datasheet 技术支持