4904|9

6423

帖子

17

TA的资源

版主

楼主
 

verilog和VHDL比较 [复制链接]

很多初学者总是在选择学习verilog还是学习VHDL上犯难?谁都希望学习一个大家都在学习的语言那大家就有共同语言,谁都想学习一个先进一些或者更有优势的语言。那么可以不负责任地告诉初学者,学习verilog就对了。

先从历史发展上对比一下。
两者都是IEEE标准。
VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language诞生于1982年,1987年底被IEEE和美国国防部确认为标准硬件描述语言,自IEEE-1076(简称87版)之后,各EDA公司相继推出自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,简称93版。VHDL最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

verilog是由Gateway Design Automation公司的工程师于1983年末创立的当时Gateway设计自动化公司还叫做自动集成设计系统(Automated Integrated Design Systems),1985年公司将名字改成了前者。该公司的菲尔·莫比(Phil Moorby)完成了Verilog的主要设计工作。1990年,Gateway设计自动化被Cadence公司收购。1990年代初,开放Verilog国际(Open Verilog International, OVI)组织(即现在的Accellera)成立,Verilog面向公有领域开放。1992年,该组织寻求将Verilog纳入电气电子工程师学会标准 。最终,Verilog成为了电气电子工程师学会1364-1995标准,即通常所说的Verilog-95。设计人员在使用这个版本的Verilog的过程中发现了一些可改进之处。为了解决用户在使用此版本Verilog过程中反映的问题,Verilog进行了修正和扩展,这部分内容后来再次被提交给电气电子工程师学会。这个扩展后的版本后来成为了电气电子工程师学会1364-2001标准,即通常所说的Verilog-2001。Verilog-2001是对Verilog-95的一个重大改进版本,它具备一些新的实用功能,例如敏感列表、多维数组、生成语句块、命名端口连接等。目前,Verilog-2001是Verilog的最主流版本,被大多数商业电子设计自动化软件包支持。
2005年,Verilog再次进行了更新,即电气电子工程师学会1364-2005标准。该版本只是对上一版本的细微修正。这个版本还包括了一个相对独立的新部分,即Verilog-AMS。这个扩展使得传统的Verilog可以对集成的模拟和混合信号系统进行建模。容易与电气电子工程师学会1364-2005标准混淆的是加强硬件验证语言特性的SystemVerilog(电气电子工程师学会1800-2005标准),它是Verilog-2005的一个超集,它是硬件描述语言、硬件验证语言(针对验证的需求,特别加强了面向对象特性)的一个集成。
2009年,IEEE 1364-2005和IEEE 1800-2005两个部分合并为IEEE 1800-2009,成为了一个新的、统一的SystemVerilog硬件描述验证语言(hardware description and verification language, HDVL)。

verilog来自C语言,,易学易用,编程风格灵活、简洁,使用者众多,特别在ASIC领域流行;
VHDL 来自ADA,语法严谨,比较难学,在欧洲和国内有较多使用者;
两者描述的设计层次有所不同:
„ VHDL:系统级、行为级、RTL 级、门级
„ VerilogHDL:行为级、RTL 级、门级、开关级
„ 不支持:电路级(spice)、版图级(GDSII/CIF)

这两者有其共同的特点:
1. 能形式化地抽象表示电路的行为和结构;
2. 支持逻辑设计中层次与范围地描述;
3. 可借用高级语言地精巧结构来简化电路行为和结构;具有电路仿真与验证机制以保证设计的正确性;
4. 支持电路描述由高层到低层的综合转换;
5. 硬件描述和实现工艺无关;
6. 便于文档管理
7. 易于理解和设计重用


目前版本的 Verilog HDL 和 VHDL 在行为级抽象建模的覆盖面范围方面有所不同。一般认为 Verilog 在系统级抽象方面要比 VHDL 略差一些,而在门级开关电路描述方面要强的多。

近 10 年来, EDA 界一直在对数字逻辑设计中究竟用哪一种硬件描述语言争论不休,目前在美国,高层次数字系统设计领域中,应用 Verilog 和 VHDL 的比率是 80 %和 20 %;日本和台湾和美国差不多;而在欧洲 VHDL 发展的比较好。在中国很多集成电路设计公司都采用 Verilog

此帖出自FPGA/CPLD论坛

最新回复

不会,顶多就弄个半死,不怕,这一片大表哥罩着你呢  详情 回复 发表于 2015-11-5 22:30
点赞 关注
个人签名training
 

回复
举报

6423

帖子

17

TA的资源

版主

沙发
 

此帖出自FPGA/CPLD论坛
个人签名training
 
 

回复

6423

帖子

17

TA的资源

版主

板凳
 

此帖出自FPGA/CPLD论坛
个人签名training
 
 
 

回复

6423

帖子

17

TA的资源

版主

4
 

此帖出自FPGA/CPLD论坛
个人签名training
 
 
 

回复

6423

帖子

17

TA的资源

版主

5
 
-newline">Introduction
As the number of enhancements to various
Hardware Description Languages (HDLs) has
increased over the past year, so too has the complexity of determining which language is best for
a particular design. Many designers and organizations are contemplating whether they should
switch from one HDL to another.
This paper compares the technical characteristics
of three, general-purpose HDLs:
• VHDL (IEEE-Std 1076): A general-purpose digital design language supported
by multiple verification and synthesis
(implementation) tools.
• Verilog (IEEE-Std 1364): A general-purpose digital design language supported by
multiple verification and synthesis tools.
• SystemVerilog: An enhanced version of
Verilog. As SystemVerilog is currently
being defined by Accellera, there is not
yet an IEEE standard.
General Characteristics of the Languages
Each HDL has its own style and heredity. The
following descriptions provide an overall “feel”
for each language. A table at the end of the
paper provides a more detailed, feature-by-feature comparison.
VHDL
VHDL is a strongly and richly typed language.
Derived from the Ada programming language, its
language requirements make it more verbose
than Verilog. The additional verbosity is intended to make designs self-documenting. Also, the
strong typing requires additional coding to
ne">explicitly convert from one data type to another
(integer to bit-vector, for example).
The creators of VHDL emphasized semantics
that were unambiguous and designs that were
easily portable from one tool to the next. Hence,
race conditions, as an artifact of the language
and tool implementation, are not a concern for
VHDL users.
Several related standards have been developed to
increase the utility of the language. Any VHDL
design today depends on at least IEEE-Std 1164
(std_logic type), and many also depend on standard Numeric and Math packages as well. The
development of related standards is due to
another goal of VHDL’s authors: namely, to produce a general language and allow development
of reusable packages to cover functionality not
built into the language.
VHDL does not define any simulation control
or monitoring capabilities within the language.
These capabilities are tool dependent. Due to
this lack of language-defined simulation control
commands and also because of VHDL’s userdefined type capabilities, the VHDL community
usually relies on interactive GUI environments
for debugging design problems.
Verilog
Verilog is a weakly and limited typed language.
Its heritage can be traced to the C programming
language and an older HDL called Hilo.
All data types in Verilog are predefined in the
language. Verilog recognizes that all data types
have a bit-level representation. The supported
data representations (excluding strings) can be
mixed freely in Verilog.

line"><Simulation semantics in Verilog are more
ambiguous than in VHDL. This ambiguity gives
designers more flexibility in applying optimizations, but it can also (and often does) result in
race conditions if careful coding guidelines are
not followed. It is possible to have a design that
generates different results on different vendors’
tools or even on different releases of the same
vendor’s tool.
Unlike the creators of VHDL, Verilog’s authors
thought that they provided designers everything
they would need in the language. The more limited scope of the language combined with the lack
of packaging capabilities makes it difficult, if
not impossible, to develop reusable functionality
not already included in the language.
Verilog defines a set of basic simulation control
capabilities (system tasks) within the language.
As a result of these predefined system tasks and
a lack of complex data types, Verilog users often
run batch or command-line simulations and
debug design problems by viewing waveforms
from a simulation results database.
SystemVerilog
Though the parent of SystemVerilog is clearly
Verilog, the language also benefits from a proprietary Verilog extension known as Superlog and
tenants of C and C++ programming languages.
SystemVerilog extends Verilog by adding a rich,
user-defined type system. It also adds strong-typing capabilities, specifically in the area of userdefined types. However, the strength of the type
checking in VHDL still exceeds that in
SystemVerilog. And, to retain backward compatibility, SystemVerilog retains weak-typing for the
built-in Verilog types.

">Since SystemVerilog is a more general-purpose
language than Verilog, it provides capabilities for
defining and packaging reusable functionality
not already included in the language.
SystemVerilog also adds capabilities targeted at
testbench development, assertion-based verification, and interface abstraction and packaging.
Pros and Cons of Strong Typing
The benefit of strong typing is finding bugs in a
design as early in the verification process as possible. Many problems that strong typing uncover
are identified during analysis/compilation of the
source code. And with run-time checks enabled,
more problems may be found during simulation.
The downside of strong typing is performance
cost. Compilation tends to be slower as tools
must perform checks on the source code.
Simulation, when run-time checks are enabled,
is also slower due to the checking overhead.
Furthermore, designer productivity can be lower
initially as the designer must write type conversion functions and insert type casts or explicitly
declared conversion functions when writing code.
The $1,000,000 question is this: do the benefits
of strong typing outweigh the costs?
There isn’t one right answer to the question. In
general, the VHDL language designers wanted a
safe language that would catch as many errors as
possible early in the process. The Verilog language
designers wanted a language that designers could -
use to write models quickly. The designers of
SystemVerilog are attempting to provide the best
of both worlds by offering strong typing in areas
of enhancement while not significantly impacting
code writing and modeling productivity.

">Summary
With all of the recent publicity surrounding languages and standards, many people are wondering where to go next. The answer to this question
will vary greatly by designer and organization. In
addition to the language feature comparison
above, here are some final points to consider:
• SystemVerilog is an emerging standard that
is still evolving. With a compelling set of
features, SystemVerilog is the likely migration path for current Verilog users.
However, widespread tool support won't be
available until the specification stabilizes.

For VHDL users, many of the
SystemVerilog and Verilog 2001 enhancements are already available in the VHDL
language. There is also a new VHDL
enhancement effort underway that will add
testbench and expanded assertions capabilities to the language (the two areas where
SystemVerilog will provide value over
VHDL 2002). Considering the cost in
changing processes and tools and the
investment required in training, moving
away from VHDL would have to be very
carefully considered.


此帖出自FPGA/CPLD论坛
个人签名training
 
 
 

回复

6423

帖子

17

TA的资源

版主

6
 
VHDL、Verilog_System_verilog比较.pdf (72.56 KB, 下载次数: 9)
此帖出自FPGA/CPLD论坛
个人签名training
 
 
 

回复

2700

帖子

0

TA的资源

五彩晶圆(初级)

7
 
那么可以不负责任地告诉楼主,此贴必火!
此帖出自FPGA/CPLD论坛

点评

会不会被VHDL的死忠给弄死?  详情 回复 发表于 2015-11-5 22:14
个人签名作为一个水军,就是尽量的多回帖,因为懂的技术少,所以回帖水分大,见谅!
EEWORLD开发板置换群:309018200,——电工们免费装β的天堂,商家勿入!加群暗号:喵
 
 
 

回复

3

帖子

0

TA的资源

一粒金砂(初级)

8
 
谢谢,幸苦了。
此帖出自FPGA/CPLD论坛
 
 
 

回复

6423

帖子

17

TA的资源

版主

9
 
elvike 发表于 2015-11-4 23:17
那么可以不负责任地告诉楼主,此贴必火!

会不会被VHDL的死忠给弄死?
此帖出自FPGA/CPLD论坛

点评

不会,顶多就弄个半死,不怕,这一片大表哥罩着你呢  详情 回复 发表于 2015-11-5 22:30
个人签名training
 
 
 

回复

2700

帖子

0

TA的资源

五彩晶圆(初级)

10
 
白丁 发表于 2015-11-5 22:14
会不会被VHDL的死忠给弄死?

不会,顶多就弄个半死,不怕,这一片大表哥罩着你呢
此帖出自FPGA/CPLD论坛
个人签名作为一个水军,就是尽量的多回帖,因为懂的技术少,所以回帖水分大,见谅!
EEWORLD开发板置换群:309018200,——电工们免费装β的天堂,商家勿入!加群暗号:喵
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/9 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表