7952|2

6892

帖子

0

TA的资源

五彩晶圆(高级)

楼主
 

UltraEdit的SystemVerilog关键词设置共享 [复制链接]

SystemVerilog部分在文件末尾(/L15 打头),每个人的惯用语言不一样,如果你只需要
增加SystemVerilog部分而保留其它设置,则把这最后一部分拷下贴到你的 WORDFILE.TXT中就
可(别忘了把该文件设为WORDLIST文件:菜单-> 高级->配置->语法高亮>WORDLIST文件完整
路径名称...)。SystemVerilog文件后缀名设为为sv,如果更改或添加则编辑“File Extensions
= SV”即可。   
    同时这份文件也包括Verilog和VHDL关键词,分别是L10和L11,如果需要的话也可以拷贝,
不过Verilog可能不全部包含Verilog 2001版和现在的Verilog2005版的信息,VHDL也不全部
包含93或2002版信息,呵呵
此帖出自FPGA/CPLD论坛

最新回复

123   详情 回复 发表于 2023-3-26 13:48
点赞 关注
个人签名一个为理想不懈前进的人,一个永不言败人!
http://shop57496282.taobao.com/
欢迎光临网上店铺!
 

回复
举报

569

帖子

0

TA的资源

一粒金砂(高级)

沙发
 

补充ULTRAEDITE 中VERILOG ,VHDL 关键词高亮设置

UltraEdit 语法高亮配置文件,只需把下列内容添加到你的wordfile文件后,就可以了。注意:放入wordlist文件夹就可以了,文件中中第一行L后面的数字代表序号,要保证新添加的值是最大的,比如上一个L后面是13,那你添加的就写成14,如果以后还有新的格式就写成15,如此类推。

以后看代码就好看多了!!

/L14"Verilog 1364-2001" Line Comment = // Block Comment On = String Chars = " File Extensions = V VL VMD
/Colors = 0,8421376,8421376,8421504,255,
/Colors Back = 16777215,16777215,16777215,16777215,16777215,
/Colors Auto Back = 1,1,1,1,1,
/Font Style = 0,0,0,0,0,
/Delimiters = ~!@%^&*()-+=|\/{}[]:;"<> ,    .?#
/Function String = "%[a-z0-9]+[ ^t]+[a-z_0-9]+[ ^t]+("
/Indent Strings = "begin" "fork" "specify" "config"
/Unindent Strings = "end" "join" "endspecify" "endconfig"
/C1"Keywords" Colors = 16711680 Colors Back = 16777215 Colors Auto Back = 1 Font Style = 0
always and assign automatic
begin buf bufif0 bufif1
case casex casez cell cmos config
deassign default defparam design disable
edge else end endcase endconfig endmodule endfunction endgenerate endprimitive endspecify endtable endtask event
for force forever fork function
generate genvar
highz0 highz1
if ifnone initial inout input instance integer
join
large liblist library localparam
macromodule medium module
nand negedge nmos none nor noshowcancelled not notif0 notif1
or output

此帖出自FPGA/CPLD论坛
 
 

回复

1

帖子

0

TA的资源

一粒金砂(初级)

板凳
 

123

此帖出自FPGA/CPLD论坛
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/8 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表