补充ULTRAEDITE 中VERILOG ,VHDL 关键词高亮设置
UltraEdit 语法高亮配置文件,只需把下列内容添加到你的wordfile文件后,就可以了。注意:放入wordlist文件夹就可以了,文件中中第一行L后面的数字代表序号,要保证新添加的值是最大的,比如上一个L后面是13,那你添加的就写成14,如果以后还有新的格式就写成15,如此类推。
以后看代码就好看多了!!
/L14"Verilog 1364-2001" Line Comment = // Block Comment On = String Chars = " File Extensions = V VL VMD /Colors = 0,8421376,8421376,8421504,255, /Colors Back = 16777215,16777215,16777215,16777215,16777215, /Colors Auto Back = 1,1,1,1,1, /Font Style = 0,0,0,0,0, /Delimiters = ~!@%^&*()-+=|\/{}[]:;"<> , .?# /Function String = "%[a-z0-9]+[ ^t]+[a-z_0-9]+[ ^t]+(" /Indent Strings = "begin" "fork" "specify" "config" /Unindent Strings = "end" "join" "endspecify" "endconfig" /C1"Keywords" Colors = 16711680 Colors Back = 16777215 Colors Auto Back = 1 Font Style = 0 always and assign automatic begin buf bufif0 bufif1 case casex casez cell cmos config deassign default defparam design disable edge else end endcase endconfig endmodule endfunction endgenerate endprimitive endspecify endtable endtask event for force forever fork function generate genvar highz0 highz1 if ifnone initial inout input instance integer join large liblist library localparam macromodule medium module nand negedge nmos none nor noshowcancelled not notif0 notif1 or output
|