2819|2

88

帖子

0

TA的资源

一粒金砂(初级)

楼主
 

求助测试代码编写 [复制链接]

[upload=rar]viewFile.asp?ID=2754[/upload]
LIBRARY ieee;                                               
USE ieee.std_logic_1164.all;
use ieee.std_logic_textio.all;
use std.textio.all;                              

ENTITY fft4_vhd_tst IS
END fft4_vhd_tst;

ARCHITECTURE fft4_arch OF fft4_vhd_tst IS
-- constants   
constant clk_period : time := 10 ns;
constant clk_stb : time := 2us;
-- signals   
file inv :text is in "bar.in";
--file outv:text is out "bar.out";
   
SIGNAL t_sig_clk   : STD_LOGIC ;--:= '0';
SIGNAL t_sig_start : STD_LOGIC;
SIGNAL t_sig_Re_in : STD_LOGIC_VECTOR(15 downto 0);
SIGNAL t_sig_Im_in : STD_LOGIC_VECTOR(15 downto 0);
SIGNAL t_sig_Re_out : STD_LOGIC_VECTOR(15 downto 0);
SIGNAL t_sig_Im_out : STD_LOGIC_VECTOR(15 downto 0);
SIGNAL t_sig_finish : STD_LOGIC;

COMPONENT fft4 PORT (
  clk : in STD_LOGIC;
  start : in STD_LOGIC;
  Re_in : in STD_LOGIC_VECTOR(15 downto 0);
  Im_in : in STD_LOGIC_VECTOR(15 downto 0);
  Re_out : out STD_LOGIC_VECTOR(15 downto 0);
  Im_out : out STD_LOGIC_VECTOR(15 downto 0);
  finish : out STD_LOGIC );
END COMPONENT;

BEGIN
i1 : fft4 PORT MAP (
-- list connections between master ports and signals
clk => t_sig_clk,        start => t_sig_start,
Re_in => t_sig_Re_in,    Im_in => t_sig_Im_in,
Re_out => t_sig_Re_out,  Im_out => t_sig_Im_out,
finish => t_sig_finish);

init : PROCESS                                               
-- variable declarations  
  variable li,lo:line;
  variable clk,start: std_logic;
  variable Re_in,Im_in : STD_LOGIC_VECTOR(15 downto 0);
BEGIN                                                        
-- code that executes only once
  READLINE(inv,li);
  READ(li,clk);
  READ(li,start);
  READ(li,Re_in);
  READ(li,Im_in);
  t_sig_clk <= clk;
  t_sig_start <= start;
  t_sig_Re_in <= Re_in;
  t_sig_Im_in <= Im_in;
  wait for (clk_period - clk_stb);
  --write(lo,now,left,8);
  --hwrite(lo,count,right,3);
  --writeline(outv,lo);
  wait for clk_stb;
  if(endfile(inv)) then
   wait; -- 只执行一次
  end if;                                                
   END PROCESS init;   
                                       
always : PROCESS                                             
-- optional sensitivity list                                 
-- (        )                                                
-- variable declarations                                      
BEGIN                                                         
    -- code executes for every event on sensitivity list
--t_sig_clk <= not t_sig_clk ;
wait for clk_period/2 ;                                                      
END PROCESS always;  
                                       
END fft4_arch;

上面的测试代码有什么错误呢??附件中文件是 bar.in

最新回复

以后需再关注,现在先帮你顶一下  详情 回复 发表于 2008-5-1 08:29
点赞 关注

回复
举报

71

帖子

0

TA的资源

一粒金砂(初级)

沙发
 
等待牛人来答.
 
 

回复

81

帖子

0

TA的资源

一粒金砂(中级)

板凳
 
以后需再关注,现在先帮你顶一下
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/9 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表