966|3

3183

帖子

0

TA的资源

纯净的硅(高级)

楼主
 

out出不来 [复制链接]

我用modesim仿真

register.v:

module register8(ena,clk,data,rst,out);
input ena,clk,rst;
input [7:0] data;
output [7:0] out;
wire [7:0] data;
reg[7:0] out;
  always @(posedge clk)
        if (!rst)
          out = 0;
        else if (ena)
          out = data;  
 //閾忕晫鍔у▽鈩冩箒閸愭獔lse妞ょ櫢绱濋弰鍓у姧婵″倹鐏塭na娑撹桨缍嗛悽闈涢挬閿涘苯宓嗘担鎸庢闁界喎褰夐崠鏍电礉data閸欐ê瀵查敍灞肩稻out娴犲秳绻氶幐浣风瑝閸?
endmodule


register1.v

// Copyright (C) 1991-2013 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Altera Program License 
// Subscription Agreement, Altera MegaCore Function License 
// Agreement, or other applicable license agreement, including, 
// without limitation, that your use is for the sole purpose of 
// programming logic devices manufactured by Altera and sold by 
// Altera or its authorized distributors.  Please refer to the 
// applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "06/08/2024 07:43:11"
                                                                                
// Verilog Test Bench template for design : register8
// 
// Simulation tool : ModelSim (Verilog)
// 

`timescale 1 ns/ 100 ps
module register8_vlg_tst();
// constants                                           
// general purpose registers

// test vector input registers
reg clk;
reg [7:0] data;
reg ena;
reg rst;
// wires                                               
wire [7:0]  out;

// assign statements (if any)                          
register8 i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.data(data),
	.ena(ena),
	.out(out),
	.rst(rst)
);
initial                                                
begin                                                  
// code that executes only once                        
// insert code here --> begin                          
    clk=0;
		#5 clk=~clk;
// --> end                                             
                       
end                                                    
 initial                                              
// optional sensitivity list                           
// @(event1 or event2 or .... eventn)                  
begin                                                  
// code executes for every event on sensitivity list   
// insert code here --> begin                          
 #10 rst=0;
#10 rst=1;
#10 ena=1;
#10 data=16'h55;
 #10 data=16'haa;
 #10 data=16'h46;
  #10 $stop;                                           
// --> end                                             
end                                                    
endmodule

仿真效果图:
 

请问高手,哪里出错了?谢谢!

 

最新回复

testbench中第54行前面加个always,你这时钟一直为1,结果肯定不对。   详情 回复 发表于 2024-6-8 10:21
点赞 关注
个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。
 

回复
举报

4771

帖子

12

TA的资源

版主

沙发
 
设置中断,二分查找吧
 
 

回复

375

帖子

0

TA的资源

一粒金砂(高级)

板凳
 

testbench中第54行前面加个always,你这时钟一直为1,结果肯定不对。

点评

谢谢!  详情 回复 发表于 2024-6-9 07:44
 
 
 

回复

3183

帖子

0

TA的资源

纯净的硅(高级)

4
 
00750 发表于 2024-6-8 10:21 testbench中第54行前面加个always,你这时钟一直为1,结果肯定不对。

谢谢!

个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/10 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表