signal r_cnt : integer range 0 to 4096;
signal l_cnt : integer range 0 to 4096;
signal r_cnt_data : integer range 0 to 4096;
signal l_cnt_data : integer range 0 to 4096;
signal r_clk_cnt : integer range 0 to 4096;
signal l_clk_cnt : integer range 0 to 4096;
signal cnt_1m : integer range 0 to 21;
signal clk_1m : std_logic;
signal cnt_10k : integer range 0 to 1023;
signal clk_10k : std_logic;
signal cnt_2k : integer range 0 to 8191;
signal r_com : integer range 0 to 20;
signal l_com : integer range 0 to 20;
signal reg_sensor_rx0 : std_logic_vector(9 downto 0);
signal reg_sensor_rx1 : std_logic_vector(9 downto 0);
signal reg_sensor_rx2 : std_logic_vector(9 downto 0);
signal reg_sensor_rx3 : std_logic_vector(9 downto 0);
signal reg_sensor_rx4 : std_logic_vector(9 downto 0);
signal reg_sensor_rx5 : std_logic_vector(9 downto 0);
signal reg_sensor_rx6 : std_logic_vector(9 downto 0);
signal reg_sensor_rx7 : std_logic_vector(9 downto 0);
signal sensor : std_logic_vector(7 downto 0);
signal sser : std_logic_vector(5 downto 0);
signal resetn : std_logic;
signal reg_sw_start : std_logic_vector(1 downto 0);
signal stop_cnt : integer range 0 to 499999;
signal cnt_motor : integer range 0 to 49;
signal clk_motor : std_logic;