2248|6

2640

帖子

6

TA的资源

五彩晶圆(初级)

楼主
 

PWM中心值计数 [复制链接]

中心值计数PWM

       中心值计数示意图,如下所示。

 

上PWM和下PWM最大差一个大的周期

电路实现描述:

       设:从O -> A 要计数512

              从 O -> B要计数1024

       问:当占空比设置为30%时候,OA与OB的计算方法

       此时OA的占空比为512*30%=153,因为前面一段是空的,所以0~(512-153)是低电平,并且512~512+153是高电平。高电平结束后就低电平,持续到1024,从此开始B~D的中心值计数。

      参考代码

//Center Value Counter
//定义PWM分辨率
`define PWM_Resolution  10
//定义PWM的中位值
`define HalfCounter     512
module PWM (
    input  wire clk,
    input wire rstn,
    //设置输入占空比
    input wire [`PWM_Resolution-1:0] duty,
    //输出占空比引脚
    output reg dout
);
//定义PWM_resolution的Counter
reg [`PWM_Resolution-1:0]Counter;
//Dutyl临时存放地址
reg [`PWM_Resolution-1:0]Duty_temp;
//初始化Counter和dout
initial begin
    dout<=1'b0;
    Counter<=0;
    Duty_temp<=0;
end
//每次时钟到来Counter+1 并且将duty 赋值给DUTY_TEMP
always @(posedge clk or negedge rstn) begin
    if (!rstn) begin
        Counter<=0;
        Duty_temp<=0;
    end
    else begin
        //Counter+1
        Counter<=Counter+1'b1;
        //Duty分成一半
        Duty_temp<=duty/2;
    end
end
always @(posedge clk or negedge rstn) begin
    if (!rstn) begin
        Counter<=0;
    end
    //在Counter小于1/2时候
    else if (Counter<`HalfCounter) begin
        if (Counter<(`HalfCounter-Duty_temp)) begin
            dout=1'b0;
        end
        else
            dout=1'b1;
    end
    //在Counter大于1/2时候
    else begin
        if (Counter<(`HalfCounter+Duty_temp)) begin
            dout=1'b1;
        end
        else
            dout=1'b0;
    end
end

endmodule //PWM

 

最新回复

过去,都是是在系统计算的时候,算出来适应范围的,这下好了,直接软件计算,不过,你确定硬件一次回路的参数的调节窗口不需要计算么?   详情 回复 发表于 2022-12-10 18:11
点赞(2) 关注(1)
个人签名

希望做一些大家觉得好用的东西!

 

回复
举报

6450

帖子

10

TA的资源

版主

沙发
 

这样计数的主要应用是哪方面?

点评

push pull 架构是可以用,但是要配合其他代码  详情 回复 发表于 2022-12-9 13:48
整着玩的  详情 回复 发表于 2022-12-9 13:47
个人签名

在爱好的道路上不断前进,在生活的迷雾中播撒光引

 
 

回复

2640

帖子

6

TA的资源

五彩晶圆(初级)

板凳
 
秦天qintian0303 发表于 2022-12-9 13:23 这样计数的主要应用是哪方面?

整着玩的

个人签名

希望做一些大家觉得好用的东西!

 
 
 

回复

2640

帖子

6

TA的资源

五彩晶圆(初级)

4
 
秦天qintian0303 发表于 2022-12-9 13:23 这样计数的主要应用是哪方面?

push pull 架构是可以用,但是要配合其他代码

个人签名

希望做一些大家觉得好用的东西!

 
 
 

回复

60

帖子

9

TA的资源

一粒金砂(中级)

5
 

过去,都是是在系统计算的时候,算出来适应范围的,这下好了,直接软件计算,不过,你确定硬件一次回路的参数的调节窗口不需要计算么?

点评

这只是一个part  详情 回复 发表于 2022-12-10 19:28
 
 
 

回复

2640

帖子

6

TA的资源

五彩晶圆(初级)

6
 
lihuanyang 发表于 2022-12-10 18:11 过去,都是是在系统计算的时候,算出来适应范围的,这下好了,直接软件计算,不过,你确定硬件一次回路的参 ...

这只是一个part


 
 
 

回复

2640

帖子

6

TA的资源

五彩晶圆(初级)

7
 
未来ic 发表于 2023-2-18 14:45 学习了,eeworld,内容很不错,非常好,对技术成长和视野的拓宽起到了不可替代的作用。

感谢你的捧场


 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/9 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表