1618|2

3241

帖子

0

TA的资源

纯净的硅(高级)

楼主
 

读取数据并显示的问题 [复制链接]

我仿照夏宇闻老师书上的例子写了一个读取文件数据并显示的testbench文件
代码如下:

`timescale 1 ns/ 1 ps
module LAMP_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire led;

// assign statements (if any)                          
LAMP i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.led(led),
	.rst_n(rst_n)
);



reg [7:0] memory [15:0];


parameter index=0;


initial begin

$readmemh("memory.list", memory);
end
reg [3:0] scan;
initial begin:qushu
if(index>0)
	
	
	
	for(scan=0;scan<index;scan=scan+1)
		
			
			if(memory[scan]>0)
				begin
					$display("%d",memory[scan]);
					memory[scan]=0;
				end
		
		
	
else
	
	$display("error--indexiszero");
	
end
endmodule

memory.list文件里有三个数1 2 3。如果index大于0,比如三,运行结果如下:

如果index=0,运行结果什么也打印不出来

按道理来讲,应该打印error--indexiszero。
请高手指教,谢谢!
 

最新回复

这个是什么环境下的,楼主最好介绍一下,要不发帖的目的性。。。   详情 回复 发表于 2022-10-10 09:02
点赞 关注
个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。
 

回复
举报

6969

帖子

11

TA的资源

版主

沙发
 

这个是什么环境下的,楼主最好介绍一下,要不发帖的目的性。。。

点评

quartus ii 11.0 modelsim  SE-64 2019.2  详情 回复 发表于 2022-10-10 11:29
 
 

回复

3241

帖子

0

TA的资源

纯净的硅(高级)

板凳
 
lugl4313820 发表于 2022-10-10 09:02 这个是什么环境下的,楼主最好介绍一下,要不发帖的目的性。。。

quartus ii 11.0

modelsim  SE-64 2019.2

个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。
 
 
 

回复
您需要登录后才可以回帖 登录 | 注册

随便看看
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/8 下一条

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 安防电子 汽车电子 手机便携 工业控制 家用电子 医疗电子 测试测量 网络通信 物联网

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2025 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表