此帖出自信息发布论坛
最新回复
楼上的看个程序好 不
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic;--输入时钟
cmd:in std_logic_vector(7 downto 0);--分频指令cmd
clkout:out std_logic);--输出时钟
end fenpin;
architecture arch of fenpin is
signal clkt:std_logic:='0';
begin
process(clk,cmd)
variable cnt:std_logic_vector(7 downto 0):=(others=>'0');
begin
if cmd="00000000" then--如果是0则不分频
clkt=cmd-1 then--计数cmd次clkt翻转一次,即可实现2*cmd次的分频
clkt'0');
else
cnt:=cnt+1;
end if;
end if;
clkout
详情
回复
发表于 2010-4-30 10:55
| ||
|
||
此帖出自信息发布论坛
| ||
|
||
EEWorld Datasheet 技术支持