mini risc mcuÔ´Âë
<div class='showpostmsg'><p>Ô´ÂëÀ´×Ôrisc-vÖÐÎÄÉçÇøµÄÕâ¸öÌû×Ó<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">£¬±¾mini risc mcuѧϰԴ´úÂëÓжþ¸ö¶ÔÓ¦µÄÎļþ£¬Ò»¸öÊÇchiselÔ´ÂëÎļþ£¬ÁíÒ»¸öÊǶÔÓ¦µÄverilogÔ´Îļþ£¬ÆäÖÐchiselÔ´Îļþ½øÐÐÁËÐÐ×¢ÊÍ£¬ÏàÐŲ»¶®chiselµÄÒ²ÄÜÃ÷°×ºÜ¶à¶«Î÷£º</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" /><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">chiselÔ´Â룺</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">import </strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">chisel3._</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">import </strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">chisel3.util._</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">class </strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">Risc </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">extends </strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">Module{</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= IO(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">new </strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">Bundle {</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">isWr </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Input</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(Bool())</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">wrAddr </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Input</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">8</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W))</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">wrData </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Input</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">32</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W))</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">boot </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Input</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(Bool())</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">valid </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Output</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(Bool())</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">out </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Output</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">32</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W))</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> })</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">file </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Mem</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">256</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">32</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//MemµÄ¹¹Ôì²ÎÊý£¬µÚÒ»¸öÊÇÊýÁ¿£¬µÚ¶þ¸öÊÇchiselÀàÐÍ file±íʾ¶þ½øÖÆÂëÎļþ.binÄÚÈÝ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">code </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Mem</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">256</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">32</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//Ö¸Áî´úÂëÇø</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">pc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">RegInit</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">8</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//µ±Ç°Ö¸ÁîµØÖ·Ö¸Õë</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">add_op </i></font></font><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">:: </i><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">imm_op </i></font></font><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">:: </i><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">Nil </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= Enum(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">2</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//²Ù×÷·û</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">inst </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">code</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">pc</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//¸ù¾ÝpcÖµÔÚ´úÂëÇøÈ¡Ö¸ÁîÖµ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">op </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">inst</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">31</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">24</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//32λָÁîÂëÖиß8λ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rci </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">inst</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">23</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">16</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//32λָÁîÂëÖдθß8λ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rai </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">inst</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">15</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">8</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//32λָÁîÂëÖÐÖÐ8λ //Ïà¶ÔÆ«ÒƵØÖ·</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rbi </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">inst</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">7</font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//32λָÁîÂëÖеÍ8λ //Ïà¶ÔÆ«ÒƵØÖ·</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">ra </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Mux</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rai </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">=== </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">file</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rai</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//¸ù¾ÝÖ¸ÁîÂëÖÐÖÐ8λµØÖ·´Ó.binÎļþÖÐÈ¡¶ÔӦƫÒƵØÖ·Ëù¶ÔÓ¦µÄÖµ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rb </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= </span></span></span></span></span></span></span></span></span></span></span></span></span><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">Mux</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rbi </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">=== </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">,</font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">file</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rbi</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//¸ù¾ÝÖ¸ÁîÂëÖеÍ8λµØÖ·´Ó.binÎļþÖÐÈ¡¶ÔӦƫÒƵØÖ·Ëù¶ÔÓ¦µÄÖµ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">val </strong></font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">= Wire(UInt(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">32</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.W)) </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//Ö¸Áî²Ù×÷µÄ½á¹û</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">valid </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">false</strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.B </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//ĬÈÏÖµ ÒòΪio.validÔÚÂß¼ÅжϹý³ÌÖÐÊÇÓÐÌõ¼þ¸Ä±äÖµ£¬ËùÒÔ¸ù¾ÝÓï·¨ÐèÒª£¬outputÀàÐÍÐèÒª¸³³õÖµ,·ñÔò»á±¨´í</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">out </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">when</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">isWr</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//д</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">code</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">wrAddr</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) := </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">wrData </i></font></font><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//½«Êý¾Ýдµ½´úÂëÇø</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">} .elsewhen(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">boot</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//boot ÉϵçÆô¶¯Ê±</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">pc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">0</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//µ±Ç°Ö¸ÁîµØÖ·Òª¸´Î»</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">} .otherwise { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//´Ó´úÂëÇøÈ¡Ö¸Ö´ÐÐ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">switch</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">op</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//¸ù¾ÝÖ¸ÁîÂëµÄ¸ß8λÇø·ÖÖ¸Áî</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">is</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">add_op</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//add²Ù×÷ ¼ä½ÓÑ°Ö·</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">ra </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">+ </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rb </i></font></font><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//×îÖÕio.outÊä³ö½á¹ûΪָÁîÂëÖеÍ8λÓëÖÐ8λ¶ÔÓ¦µÄ.bin¶þ½øÖÆÎļþÖÐÆ«Ö·ÄÚÈݽá¹ûÖ®ºÍ</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">}</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">is</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">imm_op</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//imm²Ù×÷ Ö±½ÓÑ°Ö·</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= (</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rai </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"><< </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">8</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U).asUInt() | </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rbi </i></font></font><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//Ö¸ÁîÂëÖÐÖÐ8λ×÷¸ß8λ£¬Ö¸ÁîÂëÖеÍ8λ×÷µÍ8룬ÕâÑùµÄ16λ×÷Ϊ×îÖÕÊä³ö</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">}</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> }</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">out </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc</i></font></font><br style="overflow-wrap:break-word" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic"> </i></font></font><i style="overflow-wrap:break-word; font-style:italic; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">when</i><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rci </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">=== </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">255</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U) { </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//Ö¸ÁîÂëÖдθß8λȫΪ1</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">io</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">valid </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#cc7832"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><strong style="overflow-wrap:break-word; font-weight:700">true</strong></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.B</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> } .otherwise {</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">file</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">(</span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rci</i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">) := </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">rc</i></font></font><br style="overflow-wrap:break-word" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic"> </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">}</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">pc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">:= </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#9876aa"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"><i style="overflow-wrap:break-word; font-style:italic">pc </i></font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">+ </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#6897bb"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">1</font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">.U </span></span></span></span></span></span></span></span></span></span></span></span></span><font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial">//Ö¸ÁîµØÖ·Ö¸Õë¼Ó1</font></font><br style="overflow-wrap:break-word" />
<font color="#808080"><font style="overflow-wrap:break-word; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial"> </font></font><span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">}</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">}</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">verilog´úÂ룺</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">module Risc(</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input clock,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input reset,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input io_isWr,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input io_wrAddr,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input io_wrData,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> input io_boot,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> output io_valid,</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> output io_out</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">);</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg file ; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg _RAND_0;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_1_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_1_addr; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_3_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_3_addr; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_12_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_12_addr; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_12_mask; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire file__T_12_en; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg code ; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg _RAND_1;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code_inst_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code_inst_addr; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code__T_4_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code__T_4_addr; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code__T_4_mask; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire code__T_4_en; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg pc; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> reg _RAND_2;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire op = code_inst_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire rci = code_inst_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire rai = code_inst_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire rbi = code_inst_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T = rai == 8'h0; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire ra = _T ? 32'h0 : file__T_1_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_2 = rbi == 8'h0; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire rb = _T_2 ? 32'h0 : file__T_3_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_5 = 8'h0 == op; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_7 = ra + rb; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_8 = 8'h1 == op; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_31 = {rai, 8'h0}; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_9 = {{7'd0}, _GEN_31}; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_32 = {{15'd0}, rbi}; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_10 = _T_9 | _GEN_32; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_0 = _T_8 ? _T_10 : 23'h0; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_1 = _T_5 ? _T_7 : {{9'd0}, _GEN_0}; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_11 = rci == 8'hff; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_5 = _T_11 ? 1'h0 : 1'h1; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_9 = io_boot ? 32'h0 : _GEN_1; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire rc = io_isWr ? 32'h0 : _GEN_9; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _T_14 = pc + 8'h1; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_10 = io_boot ? 32'h0 : rc; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_11 = io_boot ? 1'h0 : _T_11; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> wire _GEN_14 = io_boot ? 1'h0 : _GEN_5; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_1_addr = code_inst_data;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_1_data = file; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_3_addr = code_inst_data;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_3_data = file; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_12_data = io_isWr ? 32'h0 : _GEN_9;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_12_addr = code_inst_data;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_12_mask = 1'h1;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign file__T_12_en = io_isWr ? 1'h0 : _GEN_14;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code_inst_addr = pc;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code_inst_data = code; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code__T_4_data = io_wrData;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code__T_4_addr = io_wrAddr;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code__T_4_mask = 1'h1;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign code__T_4_en = io_isWr;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign io_valid = io_isWr ? 1'h0 : _GEN_11; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> assign io_out = io_isWr ? 32'h0 : _GEN_10; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifdef RANDOMIZE_GARBAGE_ASSIGN</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`define RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifdef RANDOMIZE_INVALID_ASSIGN</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`define RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifdef RANDOMIZE_REG_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`define RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifdef RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`define RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifndef RANDOM</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`define RANDOM $random</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifdef RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> integer initvar;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`ifndef SYNTHESIS</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">initial begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef INIT_RANDOM</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `INIT_RANDOM</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifndef VERILATOR</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef RANDOMIZE_DELAY</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> #`RANDOMIZE_DELAY begin end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `else</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> #0.002 begin end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> _RAND_0 = {1{`RANDOM}};</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> for (initvar = 0; initvar < 256; initvar = initvar+1)</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> file = _RAND_0;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif // RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> _RAND_1 = {1{`RANDOM}};</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> for (initvar = 0; initvar < 256; initvar = initvar+1)</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> code = _RAND_1;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif // RANDOMIZE_MEM_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `ifdef RANDOMIZE_REG_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> _RAND_2 = {1{`RANDOM}};</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> pc = _RAND_2;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif // RANDOMIZE_REG_INIT</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> `endif // RANDOMIZE</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">end // initial</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">`endif // SYNTHESIS</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> always @(posedge clock) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> if(file__T_12_en & file__T_12_mask) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> file <= file__T_12_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> if(code__T_4_en & code__T_4_mask) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> code <= code__T_4_data; // @</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> if (reset) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> pc <= 8'h0;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end else if (!(io_isWr)) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> if (io_boot) begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> pc <= 8'h0;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end else begin</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> pc <= _T_14;</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none"> end</span></span></span></span></span></span></span></span></span></span></span></span></span><br style="overflow-wrap:break-word; color:#333333; font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif; font-size:14px; font-style:normal; font-variant-ligatures:normal; font-weight:400; text-align:start; white-space:normal; background-color:#ffffff; text-decoration-thickness:initial; text-decoration-style:initial; text-decoration-color:initial" />
<span style="font-size:14px; text-align:start"><span style="color:#333333"><span style="font-family:΢ÈíÑźÚ, ΢ÈíÑźÚ, "PingFang SC", -apple-system, BlinkMacSystemFont, "Segoe UI", Roboto, "Helvetica Neue", Arial, sans-serif"><span style="font-style:normal"><span style="font-variant-ligatures:normal"><span style="font-weight:400"><span style="white-space:normal"><span style="background-color:#ffffff"><span style="text-decoration-thickness:initial"><span style="text-decoration-style:initial"><span style="text-decoration-color:initial"><span style="display:inline !important"><span style="float:none">endmodule</span></span></span></span></span></span></span></span></span></span></span></span></span></p>
</div><script> var loginstr = '<div class="locked">²é¿´±¾ÌûÈ«²¿ÄÚÈÝ£¬Çë<a href="javascript:;" style="color:#e60000" class="loginf">µÇ¼</a>»òÕß<a href="https://bbs.eeworld.com.cn/member.php?mod=register_eeworld.php&action=wechat" style="color:#e60000" target="_blank">×¢²á</a></div>';
if(parseInt(discuz_uid)==0){
(function($){
var postHeight = getTextHeight(400);
$(".showpostmsg").html($(".showpostmsg").html());
$(".showpostmsg").after(loginstr);
$(".showpostmsg").css({height:postHeight,overflow:"hidden"});
})(jQuery);
} </script><script type="text/javascript">(function(d,c){var a=d.createElement("script"),m=d.getElementsByTagName("script"),eewurl="//counter.eeworld.com.cn/pv/count/";a.src=eewurl+c;m.parentNode.insertBefore(a,m)})(document,523)</script> <p>¿´ºó£¬ÏàÐŲ»¶®chiselµÄÒ²ÄÜÃ÷°×ºÜ¶à¶«Î÷</p>
<p>Ïêϸ£¬ÊÇÕâÑùµÄ</p>
<p>ÕâҲ̫ºÃÁË£¬ ÕýÊÇÐèÒªµÄ¶«Î÷£¬ ÕÒÁË°ëÌ죬¸Ðл¥Ö÷·ÖÏí¡£</p>
<p>ÕýÊǺö«Î÷ÁË£¬ ÕâҲ̫ÐèÒªµÄ£¬ ÕÒÁË°ëÌ죬À÷º¦£¬´úÂë´óÅ££¬</p>
ÓÐ×¢Ê͵ľÍÊÇÔÞ£¬»¹ÔÚѧϰChiselµÄºÜ¶àÓï·¨¡£
Ò³:
[1]