1046|2

2900

帖子

0

TA的资源

纯净的硅(高级)

夏宇闻老师书第17章一个例子仿真的问题 [复制链接]

代码如下:

module top(data,addr,ena,read,write);
inout [7:0] data;
input [9:0] addr;

input ena;
input read,write;

reg [7:0]  ram[6'h3f:0];


assign data=(read&&ena) ? ram[addr]:8'hzz;

always @(posedge write)
	begin	
		ram[addr]=data;
	end
endmodule

仿真代码如下:

`timescale 1 ns/ 1 ps
module top_vlg_tst();
// constants                                           
// general purpose registers
//reg eachvec;
// test vector input registers
reg [9:0] addr;
reg [7:0] ram[6'h3f:0];
reg ena;
reg read;
reg write;
// wires                                               
wire [7:0]  data;

// assign statements (if any)                          
assign data = (read && ena) ? ram[addr]:8'bzz;
top i1 (
// port map - connection between master ports and signals/registers   
	.addr(addr),
	.data(data),
	.ena(ena),
	.read(read),
	.write(write)
);
initial                                                
begin                                                  
// code that executes only once                        
// insert code here --> begin                          
  write=0;
	forever
		#5 write = ~write;
// --> end                                             
               
end                                                    
initial	
	begin
	  #10 begin addr=10'h3f;read=0;ena=0;ram[addr]=8'h55; end
	  #10 begin read=0;ena=1;ram[addr]=8'h55;end
	  #10 begin read=1;ena=0;ram[addr]=8'h55;end
	  #10 begin read=1;ena=1;ram[addr]=8'h55;end
	  #10 begin read=1;ena=1;ram[addr]=8'haa;end
	  #10 begin read=1;ena=1;ram[addr]=8'h5a;end
	  #10 begin read=1;ena=1;ram[addr]=8'ha5;end
	  #20 $stop;
	 end
endmodule

仿真图形的一部分:

8.jpg

这是怎么回事?好像只读了一次,data就不更新了。然后就一直高阻。

请高手指教,谢谢!

最新回复

[attach]691257[/attach] 电路如下。   详情 回复 发表于 2023-4-19 10:21
个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。

回复

4960

帖子

0

TA的资源

五彩晶圆(中级)

仿真的时候data就不更新,这个是个问题,要看一下电路么

点评

[attachimg]691257[/attachimg] 电路如下。  详情 回复 发表于 2023-4-19 10:21

回复

2900

帖子

0

TA的资源

纯净的硅(高级)

Jacktang 发表于 2023-4-19 07:34 仿真的时候data就不更新,这个是个问题,要看一下电路么

4.jpg

电路如下。

个人签名为江山踏坏了乌骓马,为社稷拉断了宝雕弓。

回复
您需要登录后才可以回帖 登录 | 注册

相关帖子
查找数据手册?

EEWorld Datasheet 技术支持

相关文章 更多>>
关闭
站长推荐上一条 1/5 下一条
电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2023 EEWORLD.com.cn, Inc. All rights reserved
快速回复 返回顶部 返回列表